If you appreciate the work done within the wiki, please consider supporting The Cutting Room Floor on Patreon. Thanks for all your support!
This article has a talk page!

Marvel vs. Capcom 2: New Age of Heroes (Arcade)

From The Cutting Room Floor
Jump to navigation Jump to search

Title Screen

Marvel vs. Capcom 2: New Age of Heroes

Developer: Capcom Production Studio 1
Publisher: Capcom
Platform: Arcade (NAOMI)
Released in JP: March 2000
Released in US: March 2000


AnimationsIcon.png This game has unused animations.
SourceIcon.png This game has uncompiled source code.
DevTextIcon.png This game has hidden development-related text.
GraphicsIcon.png This game has unused graphics.
RegionIcon.png This game has regional differences.


Hmmm...
To do:
Unused voices? MvC Wikia says that Doc Doom's MSH voices are in the game's coding.
Cacti speak Japanese.
...But what does it mean?
This game has text or audio that needs to be translated. If you are fluent with this language, please read our translation guidelines and then submit a translation!

Marvel vs. Capcom 2: Over 20 years of taking people for a ride!

Unused Animation

Abyss' first form has an unused "lose" animation, in which he recoils from a hit, staggers, then goes back to neutral. The startup stagger of this animation is actually used when you defeat him with an attack, though it immediately jumps to his fall and disintegrate animation.

MvC2-Abyss-round1lose.gif

Regional Differences

VMU Support

The Japanese version allowed players to plug their Dreamcast VMUs into the machine. This was how you would earn special V-Points to unlock certain content in the Dreamcast version and vice-versa. (In practice, the cabinet must also support this; Sega's own NAOMI Universal Cabinet and Namco's Cyber Lead II are few cabinets with provisions for VMU slot necessary for this feature.) This was completely removed from the international release. Indeed, the option to enable this feature is absent in the international version's Service Mode. The international Dreamcast version also removed V-Points (and N-Points along with them, which would've been earned by playing online) and rolled everything into one point system for unlocking everything.

Japanese International
MvC2-Service-JP.png MvC2-Service-US.png

Voice Lines

In the Japanese version of the game "Air Combo" is referred to as "Aerial Rave". There is a sound file in the game's international versions of the announcer saying "Aerial Rave!", which goes unused due to the change.

Japanese International

Leftover Development Code

There's a lot of it present. Most of it is C code, but there are a few assembly tables.

Listing of C Code Files

These are just some of the C files for the Naomi itself.

amhard.h
backup.c
boot.c
comread.c
debug.h
eeprom.h
getcrc.c
jamma.c
maple.c
maple.h
mapleam.h
nlag1.c
nlag1.h
nlam.c
nlam.h
nlasrvdt.c
romread.c
sh4.h
sysreg.h
userbkup.c
usereep.c

It seems very much likely that these are Naomi source files, judging by its closeness to the string nlam/Version 1.223040/Fri Jan 28 2000/NAOMI LIBRARY FOR AM Fri Jan 28 2000.

Debug Leftovers

This looks to be debug code for sound debugging.

PLAYER SE %x
COMMON SE %x
COMMON NA %x
STREAM VOL %x
sound debug %x
sound debug %d
Sound Req %6x
Sound Load %8x

This code looks to be some sort of testing ground for sprites and camera work.

Select Model
UP,DOWN... select
SHOT...... ok
model  = %s
number = %d
material num = %d
vertex num   = %d
PRESS B BUTTON TO EXIT
number(-) .... LEFT
number(+) .... RIGHT
zoom in ...... UP
zoom out ..... DOWN
back color ... Y
camera rot ... X + UDLR
camera move .. A + UDLR
camera reset . START
menu disp .... L
cockpit view . R

Uncompiled Code

mpr-23051.ic20

0x6F1CE0:

18/Wed Dec 08 15:36:46 1999//
/pl29_tbl.s/1.41/Wed Dec 08 07:39:12 1999//
/pl36_tbl.s/1.82/Wed Dec 08 15:36:46 1999//
/pl39_tbl.s/1.15/Wed Dec 08 15:36:48 1999//
/pls09_02.c/1.5/Wed Dec 08 15:36:48 1999//
/pls0c_04.c/1.9/Wed Dec 08 15:36:48 1999//
/pls0e_02.c/1.8/Wed Dec 08 15:36:48 1999//
/pls0e_04.c/1.9/Wed Dec 08 15:36:48 1999//
/pls0e_06.c/1.4/Wed Dec 08 15:36:48 1999//
/pls2b_02.c/1.3/Wed Dec 08 15:36:48 1999//
/pls2d_00.c/1.12/Wed Dec 08 15:36:50 1999//
/pls30_00.c/1.7/Wed Dec 08 15:36:50 1999//
/pls36_03.c/1.5/Wed Dec 08 15:36:50 1999//
/pls37_00.c/1.14/Wed Dec 08 15:36:50 1999//
/s_pl00.c/1.49/Wed Dec 08 15:36:50 1999//
/s_pl07.c/1.54/Wed Dec 08 15:36:50 1999//
/s_pl08.c/1.89/Wed Dec 08 15:36:50 1999//
/s_pl0e.c/1.35/Wed Dec 08 15:36:52 1999//
/s_pl11.c/1.73/Wed Dec 08 15:36:52 1999//
/s_pl13.c/1.69/Wed Dec 08 15:36:54 1999//
/s_pl1b.c/1.44/Wed Dec 08 15:36:54 1999//
/s_pl1f.c/1.120/Wed Dec 08 15:36:54 1999//
/s_pl21.c/1.41/Wed Dec 08 15:36:54 1999//
/s_pl25.c/1.86/Wed Dec 08 15:36:56 1999//
/s_pl27.c/1.39/Wed Dec 08 15:36:56 1999//
/s_pl2b.c/1.46/Wed Dec 08 15:36:56 1999//
/s_pl31.c/1.58/Wed Dec 08 15:36:56 1999//
/s_pl37.c/1.78/Wed Dec 08 15:36:56 1999//
/s_pl3a.c/1.83/Wed Dec 08 15:36:56 1999//
/s_set02.c/1.8/Wed Dec 08 15:36:56 1999//
/s_set03.c/1.9/Wed Dec 08 15:36:58 1999//
/s_set04.c/1.9/Wed Dec 08 15:36:58 1999//
/s_set07.c/1.7/Wed Dec 08 15:36:58 1999//
/sel_pl.c/1.60/Wed Dec 08 15:36:58 1999//
/set06_02.c/1.3/Wed Dec 08 15:36:58 1999//
/shl02_00.c/1.13/Wed Dec 08 15:36:58 1999//
/shl04_01.c/1.10/Wed Dec 08 15:36:58 1999//
/shl04_02.c/1.10/Wed Dec 08 15:36:58 1999//
/shl06_02.c/1.18/Wed Dec 08 15:36:58 1999//
/shl0a_00.c/1.6/Wed Dec 08 15:36:58 1999//
/shl0e_02.c/1.5/Wed Dec 08 15:36:58 1999//
/shl0f_01.c/1.2/Wed Dec 08 15:36:58 1999//
/shl11_00.c/1.20/Wed Dec 08 15:36:58 1999//
/shl13_00.c/1.57/Wed Dec 08 15:36:58 1999//
/shl20_01.c/1.14/Wed Dec 08 15:37:00 1999//
/shl28_01.c/1.7/Wed Dec 08 15:37:00 1999//
/shl2b_02.c/1.2/Wed Dec 08 15:37:00 1999//
/shl30_01.c/1.32/Wed Dec 08 15:37:00 1999//
/shl34_00.c/1.21/Wed Dec 08 15:37:00 1999//
/shl37_01.c/1.11/Wed Dec 08 15:37:00 1999//
/shl3a_01.c/1.21/Wed Dec 08 15:37:00 1999//
/softdip.c/1.32/Wed Dec 08 15:37:00 1999//
/vms.h/1.8/Wed Dec 08 15:37:00 1999//
/wari0e.s/1.5/Wed Dec 08 15:37:02 1999//
/o_sub1.c/1.103/Wed Dec 08 18:04:26 1999//
/pl2b_tbl.s/1.36/Wed Dec 08 17:31:16 1999//
/pl2c_tbl.s/1.38/Wed Dec 08 18:12:34 1999//
/pl2d_tbl.s/1.29/Wed Dec 08 18:33:20 1999//
/pl2e_tbl.s/1.46/Wed Dec 08 18:51:34 1999//
/pl31_tbl.s/1.59/Wed Dec 08 19:14:12 1999//
/pl32_tbl.s/1.57/Wed Dec 08 20:17:40 1999//
/pl33_tbl.s/1.48/Wed Dec 08 19:44:26 1999//
/pl34_tbl.s/1.70/Wed Dec 08 20:42:38 1999//
/clear.c/1.97/Thu Dec 09 01:42:58 1999//
/reload.c/1.44/Thu Dec 09 02:04:02 1999//
/am_load.c/1.9/Thu Dec 09 04:12:56 1999//
/atck1f.s/1.7/Thu Dec 09 04:12:56 1999//
/dm00_02.c/1.21/Thu Dec 09 04:12:56 1999//
/dm08_00.c/1.21/Thu Dec 09 04:12:56 1999//
/hit_20.s/1.13/Thu Dec 09 04:12:56 1999//
/hit_dt01.s/1.18/Thu Dec 09 04:12:56 1999//
/hit_dt03.s/1.21/Thu Dec 09 04:12:56 1999//
/hit_dt0b.s/1.20/Thu Dec 09 04:12:56 1999//
/hit_dt18.s/1.5/Thu Dec 09 04:12:58 1999//
/hit_dt19.s/1.8/Thu Dec 09 04:12:58 1999//
/hit_dt2c.s/1.25/Thu Dec 09 04:13:00 1999//
/hit_dt31.s/1.28/Thu Dec 09 04:13:00 1999//
/hit_dt34.s/1.19/Thu Dec 09 04:13:00 1999//
/hit_dt35.s/1.28/Thu Dec 09 04:13:00 1999//
/hit_dt37.s/1.27/Thu Dec 09 04:13:00 1999//
/hit_dt39.s/1.12/Thu Dec 09 04:13:00 1999//
/makefile/1.478/Thu Dec 09 04:13:00 1999//
/pl09_tbl.s/1.49/Thu Dec 09 04:13:00 1999//
/pl0f_def.h/1.37/Thu Dec 09 04:13:00 1999//
/pl14_tbl.s/1.103/Thu Dec 09 04:13:02 1999//
/pl35_def.h/1.19/Thu Dec 09 04:13:02 1999//
/pl3a_def.h/1.45/Thu Dec 09 04:13:02 1999//
/pl3a_tbl.s/1.83/Thu Dec 09 04:13:02 1999//
/pls1d_02.c/1.2/Thu Dec 09 04:13:04 1999//
/pls3a_01.c/1.2/Thu Dec 09 04:13:04 1999//
/pls3a_02.c/1.3/Wed Dec 08 20:03:16 1999//
/s_pl09.c/1.47/Thu Dec 09 04:13:04 1999//
/s_pl0f.c/1.83/Thu Dec 09 04:13:04 1999//
/s_pl14.c/1.100/Thu Dec 09 04:13:04 1999//
/s_pl20.c/1.53/Thu Dec 09 04:13:04 1999//
/s_pl22.c/1.81/Thu Dec 09 04:13:04 1999//
/s_pl35.c/1.52/Thu Dec 09 04:13:04 1999//
/shl20_00.c/1.6/Thu Dec 09 04:13:04 1999//
/taiki06.s/1.5/Thu Dec 09 04:13:04 1999//
/pl1f_tbl.s/1.121/Thu Dec 09 05:44:04 1999//
/pl22_tbl.s/1.49/Thu Dec 09 07:02:12 1999//
/em_play.c/1.24/Thu Dec 09 07:12:38 1999//
/game.c/1.122/Thu Dec 09 07:12:38 1999//
/hit_def.h/1.15/Thu Dec 09 07:12:40 1999//
/hit_dt0a.s/1.28/Thu Dec 09 07:12:40 1999//
/hit_dt0d.s/1.23/Thu Dec 09 07:12:40 1999//
/hit_dt10.s/1.42/Thu Dec 09 07:12:40 1999//
/hit_dt17.s/1.51/Thu Dec 09 07:12:40 1999//
/hit_dt f.s/1.48/Thu De

mpr-23052.ic21

0x323100:

pl17_lance_land_h_lp:
		.data.w		H'0100,H'0005+end_of_data
		.data.l		pl17_006_0008
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005
		.data.l		pl17_lance_land_h_lp


;================================================================
pl17_cable_punch_start:
; 必殺技	ケーブルパンチスタート
;================================================================
		.data.w		H'0000,H'0005
		.data.l		pl17_176_0000
		.data.b		H'80,H'11,atk,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005

		.data.w		H'0200,H'0003					;	足位置の補正のためのフラグ
		.data.l		pl17_176_0001
		.data.b		H'80,H'11,atk,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005

		.data.w		H'0300,H'0008					;	足位置の補正 & pls
		.data.l		pl17_176_0002
		.data.b		H'80,H'11,atk,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005

; ここから移動をはじめる	kadono
pl17_cable_punch_start_loop:
		.data.w		H'0400,H'0001+end_of_data
		.data.l		pl17_176_0003
		.data.b		H'80,H'11,atk,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0100,H'0005					;	pls用i_catch

		.data.l		pl17_cable_punch_start_loop

;================================================================
pl17_cable_punch_finish_l:
; 必殺技	ケーブルパンチエンド(弱)
;================================================================
;	当たり
		.data.w		H'0280,H'0002				;	足位置補正のため
		.data.l		pl17_176_0004
		.data.b		H'80,H'11,atk,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0200,H'0057				;	pls用

		.data.w		H'0300,H'0002				;	足位置補正のため
		.data.l		pl17_176_0005
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'4b+cse,H'00,H'00,H'00		;	拳の音(でも炎の音)
		.data.w		H'0300,H'0058				;	pls用

;		.data.w		H'0000,H'0018
;		.data.l		pl17_176_0006
;		.data.b		H'80,H'11,ate,H'00
;		.data.b		H'00,H'00,H'00,H'00
;		.data.w		H'0000,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0400,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0500,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0600,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0700,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0800,H'0005				;	pls用

;		.data.w		H'0000,H'000e
		.data.w		H'0000,H'000e
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0900,H'0005				;	pls終了用

;		.data.w		H'0000,H'0007
		.data.w		H'0000,H'0009
		.data.l		pl17_176_0007
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005

;		.data.w		H'0000,H'0006
		.data.w		H'0000,H'0008
		.data.l		pl17_176_0008
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005

;		.data.w		H'0400,H'0006+end_of_data
		.data.w		H'0400,H'0008+end_of_data
		.data.l		pl17_176_0009
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005

		.data.l		pl17_cable_punch_finish_l

;================================================================
pl17_cable_punch_finish_h:
; 必殺技	ケーブルパンチエンド(強)
;================================================================
;	当たり
		.data.w		H'0200,H'0002				;	足位置補正のため
		.data.l		pl17_176_0004
		.data.b		H'80,H'11,atk,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0200,H'0057				;	pls用

		.data.w		H'0300,H'0002				;	足位置補正のため
		.data.l		pl17_176_0005
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'4b+cse,H'00,H'00,H'00		;	拳の音(でも炎の音)
		.data.w		H'0300,H'0058				;	pls用

;		.data.w		H'0000,H'0020
;		.data.l		pl17_176_0006
;		.data.b		H'80,H'11,ate,H'00
;		.data.b		H'00,H'00,H'00,H'00
;		.data.w		H'0000,H'0005

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0400,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0500,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0600,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0700,H'0005				;	pls用

		.data.w		H'0000,H'0002
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0800,H'0005				;	pls用

		.data.w		H'0000,H'0018
		.data.l		pl17_176_0006
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0900,H'0005				;	pls終了用

		.data.w		H'0000,H'0008
		.data.l		pl17_176_0007
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005

		.data.w		H'0000,H'0008
		.data.l		pl17_176_0008
		.data.b		H'80,H'11,ate,H'00
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0000,H'0005

		.data.w		H'0400,H'0007+end_of_data
		.data.l		pl17_176_0009
		.data.b		H'80,H'11,ate H'00
		.data.b

0x4154C0

泓・							*/
/*==============================================================*/
static void win_time_ko( PLAYER_WORK *pl_w )
{
	static void ( *win_ko_jmp[])( PLAYER_WORK *pl_w ) = {
		win_ko_init,
		win_ko_move,
		win_ko_move_e,
	} ;

	win_ko_jmp[ pl_w->routine_2]( pl_w ) ;
}

/*==============================================================*/
static void win_ko_init( PLAYER_WORK *pl_w )
{
	pl_w -> routine_2 ++ ;
	
	/***** 時間切れ勝利 *****/
	if( pl_w->type == 2 ){
		pl_char_set( pl_w, Char_Win, CH02_WIN_KO4 ) ;
		return ;
	}

	/***** KO勝利 *****/
	pl_w -> timer0 = Rnd() & 0x3 ;
	/* Ending ? kkk */
	/*	if( !( Sys->game_timer0 & 1 ) || Ending ) */
//		pl_char_set( pl_w, Char_Win, CH25_WIN03 ) ;		/* 勝利ポーズ3 */
//		return ;
	
	switch( pl_w -> timer0 ){
	case 0 :
		pl_char_set( pl_w, Char_Win, CH02_WIN_KO0 ) ;		/* 勝利ポーズ1 */
		break ;
	case 1 :
		pl_char_set( pl_w, Char_Win, CH02_WIN_KO1 ) ;		/* 勝利ポーズ2 */
		break ;
	case 2 :
		pl_char_set( pl_w, Char_Win, CH02_WIN_KO2 ) ;		/* 勝利ポーズ3 */
		break ;
	case 3 :
		pl_char_set( pl_w, Char_Win, CH02_WIN_KO3 ) ;		/* 勝利ポーズ3 */
		break ;
	}
}
/*--------------------------------------------------------------*/
static void win_ko_move( PLAYER_WORK *pl_w )
{
	pl_char_move( pl_w ) ;
}

/*--------------------------------------------------------------*/
static void win_ko_move_e( PLAYER_WORK *pl_w )
{
	pl_char_move( pl_w ) ;
}

/*==============================================================*/
/*			KO負け・時間切れ負け								*/
/*==============================================================*/
static void lose_time_ko( PLAYER_WORK *pl_w )
{
	if( !pl_w -> routine_2 ){
		pl_w -> routine_2 ++ ;
		pl_char_set( pl_w, Char_Win, CH02_LOSE ) ;
	}else{
		pl_char_move( pl_w ) ;
	}
}

/*==============================================================*/
/*			時間切れ引き分け									*/
/*==============================================================*/
static void draw_time( PLAYER_WORK *pl_w )
{
	if( !pl_w -> routine_2 ){
		pl_w -> routine_2 ++ ;
		pl_char_set( pl_w, Char_Win, CH02_TM_DRAW ) ;
	}else{
		pl_char_move( pl_w ) ;
	}
}

/****************************************************************/
/*			必殺技												*/
/****************************************************************/
void	pl02_sp_atck( PLAYER_WORK *pl_w )
{
	static void (*pl_sp_tbl[])( PLAYER_WORK *pl_w ) ={
		sp_sonic,		/* 00 ソニックブーム */
		sp_smr,			/* 01 サマーソルトキック */
		sp_jsmr,		/* 02 空・

0x51F7E0:

000f	.equ	36
pl07_000_0_0010	.equ	37
pl07_001_0_0000	.equ	38
pl07_002_0_0000	.equ	39
pl07_002_0_0001	.equ	40
pl07_002_0_0002	.equ	41
pl07_002_0_0003	.equ	42
pl07_002_0_0004	.equ	43
pl07_002_0_0005	.equ	44
pl07_002_0_0006	.equ	45
pl07_002_0_0007	.equ	46
pl07_002_0_0008	.equ	47
pl07_002_0_0009	.equ	48
pl07_002_0_000a	.equ	49
pl07_002_0_000b	.equ	50
pl07_002_0_000c	.equ	51
pl07_002_0_000d	.equ	52
pl07_002_0_000e	.equ	53
pl07_002_0_000f	.equ	54
pl07_003_0_0000	.equ	55
pl07_003_0_0001	.equ	56
pl07_003_0_0002	.equ	57
pl07_003_0_0003	.equ	58
pl07_003_0_0004	.equ	59
pl07_003_0_0005	.equ	60
pl07_003_0_0006	.equ	61
pl07_003_0_0007	.equ	62
pl07_003_0_0008	.equ	63
pl07_003_0_0009	.equ	64
pl07_003_0_000a	.equ	65
pl07_003_0_000b	.equ	66
pl07_003_0_000c	.equ	67
pl07_003_0_000d	.equ	68
pl07_003_0_000e	.equ	69
pl07_003_0_000f	.equ	70
pl07_004_0_0000	.equ	71
pl07_004_0_0001	.equ	72
pl07_004_0_0002	.equ	73
pl07_004_0_0003	.equ	74
pl07_004_0_0004	.equ	75
pl07_004_0_0005	.equ	76
pl07_005_0_0000	.equ	77
pl07_005_0_0001	.equ	78
pl07_006_0_0000	.equ	79
pl07_006_0_0001	.equ	80
pl07_006_0_0002	.equ	81
pl07_006_0_0003	.equ	82
pl07_006_0_0004	.equ	83
pl07_006_0_0005	.equ	84
pl07_006_0_0006	.equ	85
pl07_007_0_0000	.equ	86
pl07_007_0_0001	.equ	87
pl07_007_0_0002	.equ	88
pl07_007_0_0003	.equ	89
pl07_007_0_0004	.equ	90
pl07_007_0_0005	.equ	91
pl07_007_0_0006	.equ	92
pl07_007_0_0007	.equ	93
pl07_009_0_0000	.equ	94
pl07_009_0_0001	.equ	95
pl07_010_0_0000	.equ	96
pl07_010_0_0001	.equ	97
pl07_011_0_0000	.equ	98
pl07_011_0_0001	.equ	99
pl07_011_0_0002	.equ	100
pl07_011_0_0003	.equ	101
pl07_011_0_0004	.equ	102
pl07_012_0_0000	.equ	103
pl07_013_0_0000	.equ	104
pl07_013_0_0001	.equ	105
pl07_013_0_0002	.equ	106
pl07_014_0_0000	.equ	107
pl07_014_0_0001	.equ	108
pl07_014_0_0002	.equ	109
pl07_015_0_0000	.equ	110
pl07_015_0_0001	.equ	111
pl07_015_0_0002	.equ	112
pl07_016_0_0000	.equ	113
pl07_016_0_0001	.equ	114
pl07_016_0_0002	.equ	115
pl07_016_0_0003	.equ	116
pl07_016_0_0004	.equ	117
pl07_016_0_0005	.equ	118
pl07_016_0_0006	.equ	119
pl07_016_0_0007	.equ	120
pl07_019_0_0000	.equ	121
pl07_019_0_0001	.equ	122
pl07_019_0_0002	.equ	123
pl07_019_0_0003	.equ	124
pl07_020_0_0000	.equ	125
pl07_020_0_0001	.equ	126
pl07_020_0_0002	.equ	127
pl07_020_0_0003	.equ	128
pl07_020_0_0004	.equ	129
pl07_020_0_0005	.equ	130
pl07_020_0_0006	.equ	131
pl07_020_0_0007	.equ	132
pl07_020_0_0008	.equ	133
pl07_020_0_0009	.equ	134
pl07_020_0_000a	.equ	135
pl07_020_0_000b	.equ	136
pl07_020_0_000c	.equ	137
pl07_020_0_000d	.equ	138
pl07_020_0_000e	.equ	139
pl07_020_0_000f	.equ	140
pl07_020_0_0010	.equ	141
pl07_020_0_0011	.equ	142
pl07_020_0_0012	.equ	143
pl07_021_0_0000	.equ	144
pl07_021_0_0001	.equ	145
pl07_021_0_0002	.equ	146
pl07_021_0_0003	.equ	147
pl07_021_0_0004	.equ	148
pl07_021_0_0005	.equ	149
pl07_021_0_0006	.equ	150
pl07_021_0_0007	.equ	151
pl07_021_0_0008	.equ	152
pl07_022_0_0000	.equ	153
pl07_022_0_0001	.equ	154
pl07_022_0_0002	.equ	155
pl07_022_0_0003	.equ	156
pl07_022_0_0004	.equ	157
pl07_022_0_0005	.equ	158
pl07_022_0_0006	.equ	159
pl07_022_0_0007	.equ	160
pl07_024_0_0000	.equ	161
pl07_024_0_0002	.equ	162
pl07_025_0_0000	.equ	163
pl07_025_0_0002	.equ	164
pl07_026_0_0000	.equ	165
pl07_026_0_0002	.equ	166
pl07_032_0_0001	.equ	167
pl07_032_0_0002	.equ	168
pl07_032_0_0003	.equ	169
pl07_032_0_0004	.equ	170
pl07_032_0_0005	.equ	171
pl07_032_0_0006	.equ	172
pl07_032_0_0007	.equ	173
pl07_032_0_0008	.equ	174
pl07_033_0_0000	.equ	175
pl07_033_0_0001	.equ	176
pl07_033_0_0002	.equ	177
pl07_033_0_0003	.equ	178
pl07_033_0_0004	.equ	179
pl07_033_0_0005	.equ	180
pl07_100_0_0001	.equ	181
pl07_100_0_0002	.equ	182
pl07_100_0_0003	.equ	183
pl07_101_0_0000	.equ	184
pl07_101_0_0001	.equ	185
pl07_101_0_0002	.equ	186
pl07_101_0_0003	.equ	187
pl07_101_0_0004	.equ	188
pl07_101_0_0005	.equ	189
pl07_101_0_0006	.equ	190
pl07_101_0_0007	.equ	191
pl07_101_0_0008	.equ	192
pl07_101_0_0009	.equ	193
pl07_101_0_000a	.equ	194
pl07_101_0_000b	.equ	195
pl07_101_0_000c	.equ	196
pl07_102_0_0000	.equ	197
pl07_102_0_0001	.equ	198
pl07_102_0_0002	.equ	199
pl07_102_0_0003	.equ	200
pl07_102_0_0004	.equ	201
pl07_103_0_0000	.equ	202
pl07_103_0_0001	.equ	203
pl07_103_0_0003	.equ	204
pl07_103_0_0004	.equ	205
pl07_104_0_0000	.equ	206
pl07_104_0_0001	.equ	207
pl07_104_0_0003	.equ	208
pl07_104_0_0004	.equ	209
pl07_104_0_0006	.equ	210
pl07_105_0_0000	.equ	211
pl07_105_0_0001	.equ	212
pl07_105_0_0002	.equ	213
pl07_105_0_0003	.equ	214
pl07_105_0_0004	.equ	215
pl07_105_0_0005	.equ	216
pl07_105_0_0006	.equ	217
pl07_106_0_0000	.equ	218
pl07_106_0_0001	.equ	219
pl07_107_0_0000	.equ	220
pl07_107_0_0001	.equ	221
pl07_107_0_0002	.equ	222
pl07_107_0_0003	.equ	223
pl07_107_0_0004	.equ	224
pl07_107_0_0005	.equ	225
pl07_107_0_0006	.equ	226
pl07_108_0_0000	.equ	227
pl07_108_0_0001	.equ	228
pl07_108_0_0002	.equ	229
pl07_108_0_0003	.equ	230
pl07_108_0_0004	.equ	231
pl07_108_0_0005	.equ	232
pl07_108_0_0006	.equ	233
pl07_109_0_0000	.equ	234
pl07_109_0_0001	.equ	235
pl07_109_0_0003	.equ	236
pl07_110_0_0000	. qu	237
pl07_11

0x7E4380:

20/Thu Dec 09 04:12:56 1999//
/hit_dt18.s/1.5/Thu Dec 09 04:12:58 1999//
/hit_dt19.s/1.8/Thu Dec 09 04:12:58 1999//
/hit_dt2c.s/1.25/Thu Dec 09 04:13:00 1999//
/hit_dt31.s/1.28/Thu Dec 09 04:13:00 1999//
/hit_dt34.s/1.19/Thu Dec 09 04:13:00 1999//
/hit_dt35.s/1.28/Thu Dec 09 04:13:00 1999//
/hit_dt37.s/1.27/Thu Dec 09 04:13:00 1999//
/hit_dt39.s/1.12/Thu Dec 09 04:13:00 1999//
/makefile/1.478/Thu Dec 09 04:13:00 1999//
/pl09_tbl.s/1.49/Thu Dec 09 04:13:00 1999//
/pl0f_def.h/1.37/Thu Dec 09 04:13:00 1999//
/pl14_tbl.s/1.103/Thu Dec 09 04:13:02 1999//
/pl35_def.h/1.19/Thu Dec 09 04:13:02 1999//
/pl3a_def.h/1.45/Thu Dec 09 04:13:02 1999//
/pl3a_tbl.s/1.83/Thu Dec 09 04:13:02 1999//
/pls1d_02.c/1.2/Thu Dec 09 04:13:04 1999//
/pls3a_01.c/1.2/Thu Dec 09 04:13:04 1999//
/pls3a_02.c/1.3/Wed Dec 08 20:03:16 1999//
/s_pl09.c/1.47/Thu Dec 09 04:13:04 1999//
/s_pl0f.c/1.83/Thu Dec 09 04:13:04 1999//
/s_pl14.c/1.100/Thu Dec 09 04:13:04 1999//
/s_pl20.c/1.53/Thu Dec 09 04:13:04 1999//
/s_pl22.c/1.81/Thu Dec 09 04:13:04 1999//
/s_pl35.c/1.52/Thu Dec 09 04:13:04 1999//
/shl20_00.c/1.6/Thu Dec 09 04:13:04 1999//
/taiki06.s/1.5/Thu Dec 09 04:13:04 1999//
/pl1f_tbl.s/1.121/Thu Dec 09 05:44:04 1999//
/pl22_tbl.s/1.49/Thu Dec 09 07:02:12 1999//
/em_play.c/1.24/Thu Dec 09 07:12:38 1999//
/game.c/1.122/Thu Dec 09 07:12:38 1999//
/hit_def.h/1.15/Thu Dec 09 07:12:40 1999//
/hit_dt0a.s/1.28/Thu Dec 09 07:12:40 1999//
/hit_dt0d.s/1.23/Thu Dec 09 07:12:40 1999//
/hit_dt10.s/1.42/Thu Dec 09 07:12:40 1999//
/hit_dt17.s/1.51/Thu Dec 09 07:12:40 1999//
/hit_dt2f.s/1.48/Thu Dec 09 07:12:40 1999//
/hit_equ.h/1.23/Thu Dec 09 07:12:42 1999//
/pl0f_tbl.s/1.89/Thu Dec 09 07:12:44 1999//
/pl10_tbl.s/1.114/Thu Dec 09 07:12:44 1999//
/pl13_tbl.s/1.91/Thu Dec 09 07:12:44 1999//
/pl1c_tbl.s/1.49/Thu Dec 09 07:12:44 1999//
/pl1d_tbl.s/1.25/Thu Dec 09 07:12:44 1999//
/pl38_tbl.s/1.36/Thu Dec 09 07:12:46 1999//
/pl_sub3.c/1.205/Thu Dec 09 07:12:46 1999//
/pls35_02.c/1.7/Thu Dec 09 07:12:48 1999//
/s_pl1c.c/1.71/T

mpr-23053.ic22

0x1D84C0:

               ADDR                 TYPE

_mpdrv_swapmode                   H'0C216EC0               ENT
_mpdrv_timeout                    H'0C216E14               ENT
_mpdrv_v2p                        H'0C219E5C               ENT
_nag_vflip_adj                    H'0C03C5A0               ENT
_nage_hit_set                     H'0C1CDF2C               ENT
_nage_nuke                        H'0C1CE130               ENT
_nage_seikou                      H'0C1CDF78               ENT
_name_convert                     H'0C031A9A               ENT
_nando_cont                       H'0C037318               ENT
_nando_hit_rate                   H'0C037250               ENT
_nando_hr                         H'0C03729E               ENT
_nando_perfect                    H'0C037350               ENT
_nando_play_time                  H'0C0370E8               ENT
_nando_work                       H'0C2E89D8               DAT
_naomi_level_disp                 H'0C02613C               ENT
_net_adj_tbl                      H'0C253320               DAT
_net_offset_tbl                   H'0C22A624               DAT
_net_open_tbl                     H'0C22A634               DAT
_net_speed_tbl                    H'0C22A604               DAT
_next_cpu_player_sub              H'0C02EA74               ENT
_next_stage_decide                H'0C02ED92               ENT
_nlAbsSqrt                        H'0C1E5870               ENT
_nlAddMatrix                      H'0C1E6F40               DAT
_nlAddVector                      H'0C1E8870               DAT
_nlAddVector3op                   H'0C1E8890               DAT
_nlArcCos                         H'0C1E5740               ENT
_nlArcCosec                       H'0C1E5780               ENT
_nlArcCot                         H'0C1E57B0               ENT
_nlArcSec                         H'0C1E57E0               ENT
_nlArcSin                         H'0C1E5810               ENT
_nlArcTan                         H'0C1E5890               ENT
_nlArcTan2                        H'0C1E5930               ENT
_nlAtan256                        H'0C1FE1E0               DAT
_nlAtan256tbl                     H'0C1FE23C               DAT
_nlCalcPoint                      H'0C1E6FD0               DAT
_nlCalcPoint4                     H'0C1E6F90               DAT
_nlCalcPoint44                    H'0C1E6FB0               DAT
_nlCalcPoints                     H'0C1E6FF0               DAT
_nlCalcVector                     H'0C1E7030               DAT
_nlCalcVectors                    H'0C1E7050               DAT
_nlCeil                           H'0C1E59E0               ENT
_nlChangeDisplayFilterMode        H'0C1E3F70               ENT
_nlChangeDisplayMode              H'0C1E4E60               ENT
_nlChangeFastProjectMatrix        H'0C1E9C70               DAT
_nlCharacter                      H'0C1E6550               ENT
_nlClearMatrix                    H'0C1E7090               DAT
_nlCommonTextureClearTextureIndex
                                  H'0C1E3160               ENT
_nlCommonTextureGarbageCollection
                                  H'0C1E31D0               ENT
_nlCommonTextureGetFreeBlock      H'0C1E30C0               ENT
_nlCommonTextureGetFreeMem        H'0C1E30A0               ENT
_nlCommonTextureGetNextTextureIndex
                                  H'0C1E30E0               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    30

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_nlCommonTextureGetRam            H'0C1E3030               ENT
_nlCommonTextureReloadA           H'0C1E2F70               ENT
_nlCommonTextureReloadI           H'0C1E3010               ENT
_nlCommonTextureSetLoadCallBack   H'0C1E3210               ENT
_nlCopyMatrix                     H'0C1E70B0               DAT
_nlCos                            H'0C1E5A00               ENT
_nlCosec                          H'0C1E5A20               ENT
_nlCosf                           H'0C1E5A50               ENT
_nlCosh                           H'0C1E5A70               ENT
_nlCot                            H'0C1E5AA0               ENT
_nlCreateTextureParam             H'0C1E33A0               ENT
_nlCreateTextureParamA            H'0C1E3330               ENT
_nlCreateVertexBuffer             H'0C1E46F0               ENT
_nlCreateVertexBuffer_clx16       H'0C1E3B90               ENT
_nlCreateVertexBuffer_clx22       H'0C1E42E0               ENT
_nlCreateVertexBuffer_multi_pass  H'0C1E5010               ENT
_nlCurrentMatrixMode              H'0C32B624               DAT
_nlDecMatrixPtr                   H'0C1E7FC0               DAT
_nlDetMatrix                      H'0C1E7100               DAT
_nlDiffuseColor                   H'0C390780               DAT
_nlDispPolygon                    H'0C1E20A0               ENT
_nlDispPolygon09                  H'0C1E2AE0               ENT
_nlDispPolygonMd                  H'0C1E2970               ENT
_nlDispStrip                      H'0C1EF0E0               ENT
_nlDispStripCoordMode             H'0C1EEED0               ENT
_nlDispStripFreePalette           H'0C1EF0B0               ENT
_nlDispStripFreeTexture           H'0C1EEF70               ENT
_nlDispStripInit                  H'0C1EEEC0               ENT
_nlDispStripLoadPalette           H'0C1EF010               ENT
_nlDispStripLoadTexture           H'0C1EEEE0               ENT
_nlDispStripSetPalette            H'0C1EF0D0               ENT
_nlDispStripTex                   H'0C1EF1B0               ENT
_nlDispStripTexOfs                H'0C1EF210               ENT
_nlDispStripTexO

0x602440:

F_EntryErrFunc               H'0C237D18               ENT
_SJRBF_Error                      H'0C237C66               ENT
_SJRBF_Finish                     H'0C237C86               ENT
_SJRBF_GetBufPtr                  H'0C23804E               ENT
_SJRBF_GetBufSize                 H'0C238052               ENT
_SJRBF_GetChunk                   H'0C237D80               ENT
_SJRBF_GetNumData                 H'0C237D2C               ENT
_SJRBF_GetUuid                    H'0C237D14               ENT
_SJRBF_GetXtrSize                 H'0C238056               ENT
_SJRBF_Init                       H'0C237C6A               ENT
_SJRBF_IsGetChunk                 H'0C237FC8               ENT
_SJRBF_Lock                       H'0C237C38               ENT
_SJRBF_PutChunk                   H'0C237E52               ENT
_SJRBF_Reset                      H'0C237D1E               ENT
_SJRBF_UngetChunk                 H'0C237F0C               ENT
_SJRBF_Unlock                     H'0C237C50               ENT
_SJUNI_Create                     H'0C2380B8               ENT
_SJUNI_Destroy                    H'0C238122               ENT
_SJUNI_EntryErrFunc               H'0C238144               ENT
_SJUNI_Error                      H'0C23808A               ENT
_SJUNI_Finish                     H'0C2380AA               ENT
_SJUNI_GetChunk                   H'0C2381FE               ENT
_SJUNI_GetNumChainPool            H'0C2384FE               ENT
_SJUNI_GetNumChunk                H'0C2384E0               ENT
_SJUNI_GetNumData                 H'0C2381B8               ENT
_SJUNI_GetUuid                    H'0C238140               ENT
_SJUNI_Init                       H'0C23808E               ENT
_SJUNI_IsGetChunk                 H'0C23846E               ENT
_SJUNI_Lock                       H'0C23805C               ENT
_SJUNI_PutChunk                   H'0C2382CA               ENT
_SJUNI_Reset                      H'0C23814A               ENT
_SJUNI_UngetChunk                 H'0C238392               ENT
_SJUNI_Unlock                     H'0C238074               ENT
_SJ_SplitChunk                    H'0C238518               ENT
_START_SYS                        H'0C010000               DAT
_STrPrint                         H'0C01AF40               ENT
_ScreenTransFunc                  H'0C3A0150               DAT
_ScreenVertexBuffer               H'0C353E80               DAT
_SelectMainMove_yu                H'0C01BA98               ENT
_SetBlendingMode                  H'0C1C26E0               ENT
_Shl38_01_set                     H'0C16AF98               ENT
_Shl38_02_set                     H'0C16BB68               ENT
_Shl38_set                        H'0C1688A0               ENT
_Shl38_set2                       H'0C1688FA               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    11

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_SortMode                         H'0C29FE3C               DAT
_SortModeSet                      H'0C0170B8               ENT
_Sound_Heart                      H'0C021938               ENT
_SprWork                          H'0C2CE9C0               DAT
_StartDemoMain                    H'0C0208C4               ENT
_StreamBufSize                    H'0C34FB24               DAT
_StreamDefaultHeader              H'0C1F6BF9               DAT
_StreamPort                       H'0C34FB48               DAT
_Sys                              H'0C2E7550               DAT
_SysTrans                         H'0C01AFAA               ENT
_SysTransReset                    H'0C01AEEA               ENT
_SysTransSet                      H'0C01AEF6               ENT
_SystemSleep                      H'0C29FE2C               DAT
_SystemWork                       H'0C2E7554               DAT
_System_Init                      H'0C019568               ENT
_TexLoad                          H'0C016044               ENT
_TexWork                          H'0C2A0E80               DAT
_TextureCtrlGet                   H'0C1C2780               ENT
_TextureCtrlSet                   H'0C1C27BC               ENT
_TextureIDChange                  H'0C1C2870               ENT
_TextureIDSet                     H'0C1C284A               ENT
_Texture_Init                     H'0C01968C               ENT
_Timer_Gauge_Set                  H'0C1AA9A0               ENT
_TotalPackSize                    H'0C34FB20               DAT
_TransMode                        H'0C34FB40               DAT
_Trans_stk_ctr                    H'0C308900               DAT
_Trans_stk_ptr                    H'0C307100               DAT
_TranslucentModifireVolumeWritePtr
                                  H'0C3A0194               DAT
_TranslucentWritePtr              H'0C3A0190               DAT
_USR_SD_Comment                   H'0C1FE4D4               DAT
_USR_SD_Default                   H'0C1FE5D4               DAT
_VBlankInIrq                      H'0C010312               ENT
_Vec0                             H'0C1EB3BC               DAT
_Vec1                             H'0C1EB3C8               DAT
_Version

mpr-23054.ic23

0x43C7C0:

324_000f	.equ	786
pl11_324_0010	.equ	787
pl11_324_0011	.equ	788
pl11_324_0012	.equ	789
pl11_324_0013	.equ	790
pl11_324_0014	.equ	791
pl11_324_0015	.equ	792

_ef11_pat_end	.equ	53
_pl11_pat_end	.equ	793

0x43C9C0:

_304_0006	.equ	486
pl11_304_0007	.equ	487
pl11_304_0008	.equ	488
pl11_304_0009	.equ	489
pl11_304_000a	.equ	490
pl11_304_000b	.equ	491
pl11_305_0000	.equ	492
pl11_305_0001	.equ	493
pl11_305_0002	.equ	494
pl11_305_0003	.equ	495
pl11_305_0004	.equ	496
pl11_305_0005	.equ	497
pl11_306_0000	.equ	498
pl11_306_0001	.equ	499
pl11_306_0002	.equ	500
pl11_306_0003	.equ	501
pl11_306_0004	.equ	502
pl11_306_0005	.equ	503
pl11_307_0000	.equ	504
pl11_307_0001	.equ	505
pl11_307_0002	.equ	506
pl11_308_0000	.equ	507
pl11_308_0001	.equ	508
pl11_308_0002	.equ	509
pl11_309_0000	.equ	510
pl11_309_0001	.equ	511
pl11_309_0002	.equ	512
pl11_309_0003	.equ	513
pl11_309_0004	.equ	514
pl11_309_0005	.equ	515
pl11_309_0006	.equ	516
pl11_309_0007	.equ	517
pl11_30a_0000	.equ	518
pl11_30a_0001	.equ	519
pl11_30a_0002	.equ	520
pl11_30a_0003	.equ	521
pl11_30a_0004	.equ	522
pl11_30a_0005	.equ	523
pl11_30a_0006	.equ	524
pl11_30a_0007	.equ	525
pl11_30b_0000	.equ	526
pl11_30b_0001	.equ	527
pl11_30b_0002	.equ	528
pl11_30b_0003	.equ	529
pl11_30c_0000	.equ	530
pl11_30c_0001	.equ	531
pl11_30c_0002	.equ	532
pl11_30c_0003	.equ	533
pl11_30d_0000	.equ	534
pl11_30d_0001	.equ	535
pl11_30d_0002	.equ	536
pl11_30d_0003	.equ	537
pl11_30d_0004	.equ	538
pl11_30d_0005	.equ	539
pl11_30d_0006	.equ	540
pl11_30d_0007	.equ	541
pl11_30d_0008	.equ	542
pl11_30d_0009	.equ	543
pl11_30e_0000	.equ	544
pl11_30e_0001	.equ	545
pl11_30e_0002	.equ	546
pl11_30e_0003	.equ	547
pl11_30f_0000	.equ	548
pl11_30f_0001	.equ	549
pl11_30f_0002	.equ	550
pl11_30f_0003	.equ	551
pl11_30f_0004	.equ	552
pl11_30f_0005	.equ	553
pl11_30f_0006	.equ	554
pl11_30f_0007	.equ	555
pl11_310_0000	.equ	556
pl11_310_0001	.equ	557
pl11_310_0002	.equ	558
pl11_311_0_0000	.equ	559
pl11_311_0_0001	.equ	560
pl11_311_0_0002	.equ	561
pl11_311_0_0003	.equ	562
pl11_311_0_0004	.equ	563
pl11_311_0_0005	.equ	564
pl11_311_0_0006	.equ	565
pl11_311_0_0007	.equ	566
pl11_311_0_0008	.equ	567
pl11_311_0_0009	.equ	568
pl11_311_0_000a	.equ	569
pl11_311_0_000b	.equ	570
pl11_311_0_000c	.equ	571
pl11_311_0_000d	.equ	572
pl11_311_0_000e	.equ	573
pl11_311_0_000f	.equ	574
pl11_311_0_0010	.equ	575
pl11_311_0_0011	.equ	576
pl11_311_0_0012	.equ	577
pl11_311_0_0013	.equ	578
pl11_311_0_0014	.equ	579
pl11_311_0_0015	.equ	580
pl11_311_0_0016	.equ	581
pl11_311_0_0017	.equ	582
pl11_311_0_0018	.equ	583
pl11_311_0_0019	.equ	584
pl11_311_0_001a	.equ	585
pl11_311_0_001b	.equ	586
pl11_311_0_001c	.equ	587
pl11_311_0_001d	.equ	588
pl11_311_0_001e	.equ	589
pl11_311_0_001f	.equ	590
pl11_311_0_0020	.equ	591
pl11_311_0_0021	.equ	592
pl11_311_0_0022	.equ	593
pl11_311_0_0023	.equ	594
pl11_311_0_0024	.equ	595
pl11_311_0_0025	.equ	596
pl11_312_0000	.equ	597
pl11_313_0000	.equ	598
pl11_313_0001	.equ	599
pl11_313_0002	.equ	600
pl11_313_0003	.equ	601
pl11_313_0004	.equ	602
pl11_313_0005	.equ	603
pl11_313_0006	.equ	604
pl11_313_0007	.equ	605
pl11_313_0008	.equ	606
pl11_313_0009	.equ	607
pl11_313_000a	.equ	608
pl11_313_000b	.equ	609
pl11_313_000c	.equ	610
pl11_313_000d	.equ	611
pl11_314_0000	.equ	612
pl11_315_0000	.equ	613
pl11_315_0001	.equ	614
pl11_315_0002	.equ	615
pl11_315_0003	.equ	616
pl11_315_0004	.equ	617
pl11_315_0005	.equ	618
pl11_315_0006	.equ	619
pl11_316_0000	.equ	620
pl11_316_0001	.equ	621
pl11_316_0002	.equ	622
pl11_316_0003	.equ	623
pl11_316_0004	.equ	624
pl11_316_0005	.equ	625
pl11_316_0006	.equ	626
pl11_317_0_0000	.equ	627
pl11_317_0_0001	.equ	628
pl11_317_0_0002	.equ	629
pl11_317_0_0003	.equ	630
pl11_317_0_0004	.equ	631
pl11_317_0_0005	.equ	632
pl11_317_0_0006	.equ	633
pl11_317_0_0007	.equ	634
pl11_317_0_0008	.equ	635
pl11_317_0_0009	.equ	636
pl11_317_0_000a	.equ	637
pl11_317_0_000b	.equ	638
pl11_317_0_000c	.equ	639
pl11_317_0_000d	.equ	640
pl11_317_0_000e	.equ	641
pl11_317_0_000f	.equ	642
pl11_318_0000	.equ	643
pl11_318_0001	.equ	644
pl11_318_0002	.equ	645
pl11_318_0003	.equ	646
pl11_318_0004	.equ	647
pl11_318_0005	.equ	648
pl11_318_0006	.equ	649
pl11_318_0007	.equ	650
pl11_318_0008	.equ	651
pl11_318_0009	.equ	652
pl11_318_000a	.equ	653
pl11_318_000b	.equ	654
pl11_318_000c	.equ	655
pl11_318_000d	.equ	656
pl11_318_000e	.equ	657
pl11_318_000f	.equ	658
pl11_318_0010	.equ	659
pl11_319_0000	.equ	660
pl11_319_0001	.equ	661
pl11_319_0002	.equ	662
pl11_319_0003	.equ	663
pl11_319_0004	.equ	664
pl11_319_0005	.equ	665
pl11_319_0006	.equ	666
pl11_319_0007	.equ	667
pl11_319_0008	.equ	668
pl11_319_0009	.equ	669
pl11_319_000a	.equ	670
pl11_319_000b	.equ	671
pl11_319_000c	.equ	672
pl11_319_000d	.eef12_300_0000	.equ	0+h'8000
ef12_300_0001	.equ	1+h'8000
ef12_300_0002	.equ	2+h'8000
ef12_300_0003	.equ	3+h'8000
ef12_300_0004	.equ	4+h'8000
ef12_300_0005	.equ	5+h'8000
ef12_300_0006	.equ	6+h'8000
ef12_300_0007	.equ	7+h'8000
ef12_300_0008	.equ	8+h'8

0x5AFBA0:

ef0e_134_0002	.equ	0+h'8000
ef0e_134_0003	.equ	1+h'8000
ef0e_134_0004	.equ	2+h'8000
ef0e_134_0005	.equ	3+h'8000
ef0e_134_0006	.equ	4+h'8000
ef0e_134_0007	.equ	5+h'8000
ef0e_134_0008	.equ	6+h'8000
ef0e_134_0009	.equ	7+h'8000
ef0e_134_0010	.equ	8+h'8000
ef0e_134_0011	.equ	9+h'8000
ef0e_134_0012	.equ	10+h'8000
ef0e_134_0013	.equ	11+h'8000
ef0e_134_0014	.equ	12+h'8000
ef0e_134_0015	.equ	13+h'8000
ef0e_134_0016	.equ	14+h'8000
ef0e_134_0017	.equ	15+h'8000
ef0e_134_001a	.equ	16+h'8000
ef0e_134_001b	.equ	17+h'8000
ef0e_134_001c	.equ	18+h'8000
ef0e_134_001d	.equ	19+h'8000
ef0e_134_001e	.equ	20+h'8000
ef0e_134_001f	.equ	21+h'8000
ef0e_134_0020	.equ	22+h'8000
ef0e_134_0021	.equ	23+h'8000
ef0e_134_0024	.equ	24+h'8000
ef0e_134_0025	.equ	25+h'8000
ef0e_134_0026	.equ	26+h'8000
ef0e_134_0027	.equ	27+h'8000
ef0e_134_0028	.equ	28+h'8000
ef0e_134_0029	.equ	29+h'8000
ef0e_134_002a	.equ	30+h'8000
ef0e_134_002b	.equ	31+h'8000
ef0e_135_0_001e	.equ	32+h'8000
pl0e_000_0000	.equ	33
pl0e_000_0001	.equ	34
pl0e_000_0002	.equ	35
pl0e_000_0003	.equ	36
pl0e_000_0004	.equ	37
pl0e_000_0005	.equ	38
pl0e_000_0006	.equ	39
pl0e_000_0007	.equ	40
pl0e_000_0008	.equ	41
pl0e_000_0009	.equ	42
pl0e_000_000a	.equ	43
pl0e_000_000b	.equ	44
pl0e_000_000c	.equ	45
pl0e_001_0000	.equ	46
pl0e_001_0001	.equ	47
pl0e_001_0002	.equ	48
pl0e_001_0003	.equ	49
pl0e_001_0004	.equ	50
pl0e_001_0005	.equ	51
pl0e_001_0006	.equ	52
pl0e_001_0007	.equ	53
pl0e_002_0000	.equ	54
pl0e_002_0001	.equ	55
pl0e_002_0002	.equ	56
pl0e_002_0003	.equ	57
pl0e_002_0004	.equ	58
pl0e_002_0005	.equ	59
pl0e_002_0006	.equ	60
pl0e_002_0007	.equ	61
pl0e_002_0008	.equ	62
pl0e_002_0009	.equ	63
pl0e_003_0000	.equ	64
pl0e_003_0001	.equ	65
pl0e_003_0002	.equ	66
pl0e_003_0003	.equ	67
pl0e_003_0004	.equ	68
pl0e_003_0005	.equ	69
pl0e_003_0006	.equ	70
pl0e_003_0007	.equ	71
pl0e_003_0008	.equ	72
pl0e_003_0009	.equ	73
pl0e_004_0000	.equ	74
pl0e_004_0001	.equ	75
pl0e_004_0002	.equ	76
pl0e_004_0003	.equ	77
pl0e_004_0004	.equ	78
pl0e_004_0005	.equ	79
pl0e_004_0006	.equ	80
pl0e_004_0007	.equ	81
pl0e_004_0008	.equ	82
pl0e_006_0000	.equ	83
pl0e_006_0001	.equ	84
pl0e_006_0002	.equ	85
pl0e_006_0003	.equ	86
pl0e_006_0004	.equ	87
pl0e_006_0005	.equ	88
pl0e_006_0006	.equ	89
pl0e_006_0007	.equ	90
pl0e_006_0008	.equ	91
pl0e_007_0000	.equ	92
pl0e_007_0001	.equ	93
pl0e_007_0002	.equ	94
pl0e_008_0000	.equ	95
pl0e_008_0001	.equ	96
pl0e_008_0002	.equ	97
pl0e_008_0003	.equ	98
pl0e_009_0000	.equ	99
pl0e_009_0001	.equ	100
pl0e_011_0000	.equ	101
pl0e_011_0001	.equ	102
pl0e_012_0000	.equ	103
pl0e_012_0001	.equ	104
pl0e_013_0000	.equ	105
pl0e_013_0001	.equ	106
pl0e_013_0002	.equ	107
pl0e_013_0003	.equ	108
pl0e_013_0004	.equ	109
pl0e_013_0005	.equ	110
pl0e_013_0006	.equ	111
pl0e_013_0007	.equ	112
pl0e_013_0008	.equ	113
pl0e_013_0009	.equ	114
pl0e_014_0000	.equ	115
pl0e_014_0001	.equ	116
pl0e_014_0002	.equ	117
pl0e_014_0003	.equ	118
pl0e_014_0004	.equ	119
pl0e_014_0005	.equ	120
pl0e_014_0006	.equ	121
pl0e_014_0007	.equ	122
pl0e_014_0008	.equ	123
pl0e_014_0009	.equ	124
pl0e_015_0000	.equ	125
pl0e_015_0001	.equ	126
pl0e_015_0002	.equ	127
pl0e_015_0003	.equ	128
pl0e_015_0004	.equ	129
pl0e_015_0005	.equ	130
pl0e_015_0006	.equ	131
pl0e_015_0007	.equ	132
pl0e_015_0008	.equ	133
pl0e_015_0009	.equ	134
pl0e_015_000a	.equ	135
pl0e_015_000b	.equ	136
pl0e_016_0_0000	.equ	137
pl0e_016_0_0001	.equ	138
pl0e_016_0_0002	.equ	139
pl0e_016_0_0003	.equ	140
pl0e_016_0_0004	.equ	141
pl0e_016_0_0005	.equ	142
pl0e_016_0_0006	.equ	143
pl0e_017_0_0000	.equ	144
pl0e_017_0_0001	.equ	145
pl0e_017_0_0002	.equ	146
pl0e_017_0_0003	.equ	147
pl0e_017_0_0004	.equ	148
pl0e_017_0_0005	.equ	149
pl0e_017_0_0006	.equ	150
pl0e_017_0_0007	.equ	151
pl0e_017_0_0008	.equ	152
pl0e_019_0_0000	.equ	153
pl0e_019_0_0001	.equ	154
pl0e_019_0_0002	.equ	155
pl0e_019_0_0003	.equ	156
pl0e_019_0_0004	.equ	157
pl0e_020_0_0000	.equ	158
pl0e_020_0_0001	.equ	159
pl0e_020_0_0002	.equ	160
pl0e_020_0_0003	.equ	161
pl0e_020_0_0004	.equ	162
pl0e_020_0_0005	.equ	163
pl0e_020_0_0006	.equ	164
pl0e_020_0_0007	.equ	165
pl0e_020_0_0008	.equ	166
pl0e_020_0_0009	.equ	167
pl0e_020_0_000a	.equ	168
pl0e_020_0_000b	.equ	169
pl0e_020_0_000c	.equ	170
pl0e_020_0_000d	.equ	171
pl0e_020_0_000e	.equ	172
pl0e_020_0_000f	.equ	173
pl0e_020_0_0010	.equ	174
pl0e_020_0_0011	.equ	175
pl0e_020_0_0012	.equ	176
pl0e_020_0_0013	.equ	177
pl0e_021_0000	.equ	178
pl0e_021_0002	.equ	179
pl0e_021_0003	.equ	180
pl0e_021_0004	.equ	181
pl0e_021_0005	.equ	182
pl0e_021_0006	.equ	183
pl0e_022_0_0000	.equ	184
pl0e_022_0_0001	.equ	185
pl0e_022_0_0002	.equ	186
pl0e_022_0_0003	.equ	187
pl0e_023_0_0000	.equ	188
pl0e_023_0_0001	.equ	189
pl0e_023_0_0002	.equ	190
pl0e_023_0_0003	.equ	191
pl0e_024_0000	.equ	192
pl0e_024_0001	.equ	193
pl0e_024_0002	.equ	194
pl0e_024_0003	.equ	195
pl0e_024_0004	.equ	196
pl0e_025_0000	.equ	197
pl0e_025_0001	.equ	198
pl0e_025_0002	.equ	199
pl0e_025_0003	.equ	200
pl0e_025_0004	.equ	201
pl0e_026_0000	.equ	202
pl0e_026_0001	.equ	203
pl0e_026_0002	.equ	204
pl0e_026_0003	.equ	205
pl0e_026_0004	.equ	206
pl0e_031_0000	.equ	207
pl0e_031_0001	.equ	208
pl0e_031_0002	.equ	209
pl0e_050_0000	.equ	210
pl0e_051_0000	.equ	211
pl0e_051_0001	.equ	212
pl0e_051_0002	.equ	213
pl0e_051_0003	.equ	214
pl0e_052_0000	.equ	215
pl0e_052_0001	.equ	216
pl0e_052_0002	.equ	217
pl0e_100_0000	.equ	218
pl0e_100_0001	.equ	219
pl0e_100_0003	.equ	220
pl0e_101_0_0000	.equ	221
pl0e_101_0_0001	.equ	222
pl0e_101_0_0002	.equ	223
pl0e_101_0_0003	.equ	224
pl0e_101_0_0004	.equ	225
pl0e_101_0_0005	.equ	226
pl0e_101_0_0006	.equ	227
pl0e_102_0000	.equ	228
pl0e_102_0001	.equ	229
pl0e_102_0002	.equ	230
pl0e_102_0003	.equ	231
pl0e_102_0004	.equ	232
pl0e_102_0005	.equ	233
pl0e_102_0006	.equ	234
pl0e_103_0000	.equ	235
pl0e_103_0001	.equ	236
pl0e_104_0000	.equ	237
pl0e_104_0001	.equ	238
pl0e_104_0002	.equ	239
pl0e_104_0003	.equ	240
pl0e_104_0004	.equ	241
pl0e_104_0005	.equ	242
pl0e_104_0006	.equ	243
pl0e_104_0007	.equ	244
pl0e_104_0008	.equ	245
pl0e_105_0_0000	.equ	246
pl0e_105_0_0001	.equ	247
pl0e_105_0_0002	.equ	248
pl0e_105_0_0003	.equ	249
pl0e_105_0_0004	.equ	250
pl0e_105_0_0005	.equ	251
pl0e_105_0_0006	.equ	252
pl0e_105_0_0007	.equ	253
pl0e_105_0_0008	.equ	254
pl0e_105_0_0009	.equ	255
pl0e_105_0_000a	.equ	256
pl0e_105_0_000b	.equ	257
pl0e_105_0_000c	.equ	258
pl0e_105_0_000d	.equ	259
pl0e_105_0_000e	.equ	260
pl0e_105_0_000f	.equ	261
pl0e_106_0000	.equ	262
pl0e_106_0001	.equ	263
pl0e_106_0003	.equ	264
pl0e_107_0000	.equ	265
pl0e_107_0001	.equ	266
pl0e_107_0002	.equ	267
pl0e_107_0003	.equ	268
pl0e_107_0004	.equ	269
pl0e_107_0005	.equ	270
pl0e_108_0000	.equ	271
pl0e_108_0001	.equ	272
pl0e_108_0002	.equ	273
pl0e_108_0003	.equ	274
pl0e_108_0004	.equ	275
pl0e_108_0005	.equ	276
pl0e_108_0006	.equ	277
pl0e_109_0000	.equ	278
pl0e_109_0001	.equ	279
pl0e_110_0000	.equ	280
pl0e_110_0001	.equ	281
pl0e_110_0002	.equ	282
pl0e_110_0003	.equ	283
pl0e_111_0_0000	.equ	284
pl0e_111_0_0001	.equ	285
pl0e_111_0_0002	.equ	286
pl0e_111_0_0003	.equ	287
pl0e_111_0_0004	.equ	288
pl0e_111_0_0005	.equ	289
pl0e_111_0_0006	.equ	290
pl0e_111_0_0007	.equ	291
pl0e_111_0_0008	.equ	292
pl0e_111_0_0009	.equ	293
pl0e_111_0_000a	.equ	294
pl0e_111_0_000b	.equ	295
pl0e_111_0_000c	.equ	296
pl0e_112_0000	.equ	297
pl0e_112_0001	.equ	298
pl0e_112_0003	.equ	299
pl0e_113_0000	.equ	300
pl0e_113_0001	.equ	301
pl0e_113_0002	.equ	302
pl0e_113_0003	.equ	303
pl0e_113_0004	.equ	304
pl0e_114_0000	.equ	305
pl0e_114_0001	.equ	306
pl0e_114_0002	.equ	307
pl0e_114_0003	.equ	308
pl0e_114_0004	.equ	309
pl0e_114_0005	.equ	310
pl0e_114_0006	.equ	311
pl0e_114_0007	.equ	312
pl0e_115_0000	.equ	313
pl0e_115_0001	.equ	314
pl0e_115_0002	.equ	315
pl0e_116_0000	.equ	316
pl0e_116_0001	.equ	317
pl0e_116_0002	.equ	318
pl0e_116_0003	.equ	319
pl0e_117_0_0000	.equ	320
pl0e_117_0_0001	.equ	321
pl0e_117_0_0002	.equ	322
pl0e_117_0_0003	.equ	323
pl0e_117_0_0004	.equ	324
pl0e_117_0_0005	.equ	325
pl0e_117_0_0006	.equ	326
pl0e_117_0_0007	.equ	327
pl0e_117_0_0008	.equ	328
pl0e_117_0_0009	.equ	329
pl0e_117_0_000a	.equ	330
pl0e_117_0_000b	.equ	331
pl0e_117_0_000c	.equ	332
pl0e_117_0_000d	.equ	333
pl0e_117_0_000e	.equ	334
pl0e_117_0_000f	.equ	335
pl0e_124_0_0000	.equ	336
pl0e_124_0_0001	.equ	337
pl0e_124_0_0002	.equ	338
pl0e_124_0_0003	.equ	339
pl0e_124_0_0004	.equ	340
pl0e_124_0_0005	.equ	341
pl0e_124_0_0006	.equ	342
pl0e_124_0_0007	.equ	343
pl0e_124_0_0008	.equ	344
pl0e_124_0_0009	.equ	345
pl0e_124_0_000a	.equ	346
pl0e_124_0_000b	.equ	347
pl0e_124_0_000c	.equ	348
pl0e_124_0_000d	.equ	349
pl0e_124_0_000e	.equ	350
pl0e_124_0_000f	.equ	351
pl0e_124_0_0010	.equ	352
pl0e_124_0_0011	.equ	353
pl0e_124_0_0012	.equ	354
pl0e_124_0_0013	.equ	355
pl0e_124_0_0014	.equ	356
pl0e_125_0000	.equ	357
pl0e_125_0001	.equ	358
pl0e_125_0002	.equ	359
pl0e_125_0003	.equ	360
pl0e_125_0004	.equ	361
pl0e_125_0005	.equ	362
pl0e_125_0006	.equ	363
pl0e_125_0007	.equ	364
pl0e_125_0008	.equ	365
pl0e_128_0000	.equ	366
pl0e_128_0001	.equ	367
pl0e_128_0002	.equ	368
pl0e_128_0003	.equ	369
pl0e_128_0004	.equ	370
pl0e_128_0005	.equ	371
pl0e_128_0006	.equ	372
pl0e_128_0007	.equ	373
pl0e_128_0008	.equ	374
pl0e_128_0009	.equ	375
pl0e_128_000a	.equ	376
pl0e_128_000b	.equ	377
pl0e_128_000c	.equ	378
pl0e_128_000d	.equ	379
pl0e_128_000e	.equ	380
pl0e_128_000f	.equ	381
pl0e_128_0010	.equ	382
pl0e_128_0011	.equ	383
pl0e_128_0012	.equ	384
pl0e_128_0013	.equ	385
pl0e_128_0014	.equ	386
pl0e_128_0015	.equ	387
pl0e_128_0016	.equ	388
pl0e_128_0017	.equ	389
pl0e_128_0018	.equ	390
pl0e_128_0019	.equ	391
pl0e_128_001a	.equ	392
pl0e_128_001b	.equ	393
pl0e_128_001c	.equ	394
pl0e_128_001d	.equ	395
pl0e_128_001e	.equ	396
pl0e_128_001f	.equ	397
pl0e_128_0020	.equ	398
pl0e_128_0021	.equ	399
pl0e_128_0022	.equ	400
pl0e_128_0023	.equ	401
pl0e_128_0024	.equ	402
pl0e_128_0025	.equ	403
pl0e_128_0026	.equ	404
pl0e_128_0027	.equ	405
pl0e_128_0028	.equ	406
pl0e_128_0029	.equ	407
pl0e_128_002a	.equ	408
pl0e_128_002b	.equ	409
pl0e_129_0_0000	.equ	410
pl0e_129_0_0001	.equ	411
pl0e_129_0_0002	.equ	412
pl0e_129_0_0003	.equ	413
pl0e_129_0_0004	.equ	414
pl0e_129_0_0005	.equ	415
pl0e_129_0_0006	.equ	416
pl0e_129_0_0007	.equ	417
pl0e_129_0_0008	.equ	418
pl0e_129_0_0009	.equ	419
pl0e_129_0_000a	.equ	420
pl0e_129_0_000b	.equ	421
pl0e_129_0_000c	.equ	422
pl0e_129_0_000d	.equ	423
pl0e_129_0_000e	.equ	424
pl0e_129_0_000f	.equ	425
pl0e_129_0_0010	.equ	426
pl0e_129_0_0011	.equ	427
pl0e_129_0_0012	.equ	428
pl0e_129_0_0013	.equ	429
pl0e_129_0_0014	.equ	430
pl0e_129_0_0015	.equ	431
pl0e_129_0_0016	.equ	432
pl0e_131_0000	.equ	433
pl0e_131_0001	.equ	434
pl0e_132_0000	.equ	435
pl0e_132_0001	.equ	436
pl0e_132_0002	.equ	437
pl0e_132_0003	.equ	438
pl0e_132_0004	.equ	439
pl0e_134_0000	.equ	440
pl0e_134_0001	.equ	441
pl0e_134_0002	.equ	442
pl0e_134_0003	.equ	443
pl0e_134_0004	.equ	444
pl0e_134_0005	.equ	445
pl0e_134_0006	.equ	446
pl0e_134_0007	.equ	447
pl0e_134_0008	.equ	448
pl0e_134_0009	.equ	449
pl0e_134_000a	.equ	450
pl0e_134_000b	.equ	451
pl0e_134_000c	.equ	452
pl0e_134_000d	.equ	453
pl0e_134_000e	.equ	454
pl0e_134_000f	.equ	455
pl0e_134_0010	.equ	456
pl0e_134_0011	.equ	457
pl0e_134_0012	.equ	458
pl0e_134_0013	.equ	459
pl0e_134_0014	.equ	460
pl0e_134_0015	.equ	461
pl0e_134_0016	.equ	462
pl0e_134_0017	.equ	463
pl0e_134_0018	.equ	464
pl0e_134_0019	.equ	465
pl0e_134_001a	.equ	466
pl0e_134_001b	.equ	467
pl0e_134_001c	.equ	468
pl0e_134_001d	.equ	469
pl0e_134_001e	.equ	470
pl0e_134_001f	.equ	471
pl0e_134_0020	.equ	472
pl0e_134_0021	.equ	473
pl0e_134_0022	.equ	474
pl0e_134_0023	.equ	475
pl0e_134_0024	.equ	476
pl0e_134_0025	.equ	477
pl0e_134_0026	.equ	478
pl0e_134_0027	.equ	479
pl0e_134_0028	.equ	480
pl0e_134_0029	.equ	481
pl0e_134_002a	.equ	482
pl0e_134_002b	.equ	483
pl0e_135_0_0000	.equ	484
pl0e_135_0_0001	.equ	485
pl0e_135_0_0002	.equ	486
pl0e_135_0_0003	.equ	487
pl0e_135_0_0004	.equ	488
pl0e_135_0_0005	.equ	489
pl0e_135_0_0006	.equ	490
pl0e_135_0_0007	.equ	491
pl0e_135_0_0008	.equ	492
pl0e_135_0_0009	.equ	493
pl0e_135_0_000a	.equ	494
pl0e_135_0_000b	.equ	495
pl0e_135_0_000c	.equ	496
pl0e_135_0_000d	.equ	497
pl0e_135_0_000e	.equ	498
pl0e_135_0_000f	.equ	499
pl0e_135_0_0010	.equ	500
pl0e_135_0_0011	.equ	501
pl0e_135_0_0012	.equ	502
pl0e_135_0_0013	.equ	503
pl0e_135_0_0014	.equ	504
pl0e_135_0_0015	.equ	505
pl0e_135_0_0016	.equ	506
pl0e_135_0_0017	.equ	507
pl0e_135_0_0018	.equ	508
pl0e_135_0_0019	.equ	509
pl0e_135_0_001a	.equ	510
pl0e_135_0_001b	.equ	511
pl0e_135_0_001c	.equ	512
pl0e_135_0_001d	.equ	513
pl0e_135_0_001e	.equ	514
pl0e_139_0_0000	.equ	515
pl0e_139_0_0001	.equ	516
pl0e_139_0_0002	.equ	517
pl0e_139_0_0003	.equ	518
pl0e_139_0_0004	.equ	519
pl0e_139_0_0005	.equ	520
pl0e_139_0_0006	.equ	521
pl0e_139_0_0007	.equ	522
pl0e_139_0_0008	.equ	523
pl0e_139_0_0009	.equ	524
pl0e_139_0_000a	.equ	525
pl0e_139_0_000b	.equ	526
pl0e_139_0_000c	.equ	527
pl0e_139_0_000d	.equ	528
pl0e_139_0_000e	.equ	529
pl0e_170_0000	.equ	530
pl0e_170_0001	.equ	531
pl0e_170_0002	.equ	532
pl0e_170_0003	.equ	533
pl0e_170_0004	.equ	534
pl0e_170_0005	.equ	535
pl0e_170_0006	.equ	536
pl0e_170_0007	.equ	537
pl0e_170_0008	.equ	538
pl0e_170_0009	.equ	539
pl0e_170_000a	.equ	540
pl0e_170_000b	.equ	541
pl0e_170_000c	.equ	542
pl0e_170_000d	.equ	543
pl0e_170_000e	.equ	544
pl0e_170_000f	.equ	545
pl0e_200_0_0000	.equ	546
pl0e_200_0_0001	.equ	547
pl0e_200_0_0002	.equ	548
pl0e_200_0_0003	.equ	549
pl0e_200_0_0004	.equ	550
pl0e_200_0_0005	.equ	551
pl0e_203_0_0000	.equ	552
pl0e_203_0_0001	.equ	553
pl0e_203_0_0002	.equ	554
pl0e_203_0_0003	.equ	555
pl0e_203_0_0004	.equ	556
pl0e_203_0_0005	.equ	557
pl0e_206_0_0000	.equ	558
pl0e_206_0_0001	.equ	559
pl0e_206_0_0002	.equ	560
pl0e_206_0_0003	.equ	561
pl0e_206_0_0004	.equ	562
pl0e_206_0_0005	.equ	563
pl0e_209_0_0000	.equ	564
pl0e_209_0_0001	.equ	565
pl0e_209_0_0002	.equ	566
pl0e_209_0_0003	.equ	567
pl0e_209_0_0004	.equ	568
pl0e_209_0_0005	.equ	569
pl0e_211_0000	.equ	570
pl0e_211_0001	.equ	571
pl0e_213_0000	.equ	572
pl0e_215_0000	.equ	573
pl0e_215_0001	.equ	574
pl0e_215_0002	.equ	575
pl0e_216_0000	.equ	576
pl0e_216_0001	.equ	577
pl0e_216_0002	.equ	578
pl0e_216_0003	.equ	579
pl0e_216_0004	.equ	580
pl0e_216_0005	.equ	581
pl0e_216_0006	.equ	582
pl0e_216_0007	.equ	583
pl0e_216_0008	.equ	584
pl0e_216_0009	.equ	585
pl0e_216_000a	.equ	586
pl0e_216_000b	.equ	587
pl0e_217_0000	.equ	588
pl0e_217_0001	.equ	589
pl0e_217_0002	.equ	590
pl0e_217_0003	.equ	591
pl0e_218_0_0000	.equ	592
pl0e_218_0_0001	.equ	593
pl0e_218_0_0002	.equ	594
pl0e_218_0_0003	.equ	595
pl0e_218_0_0004	.equ	596
pl0e_218_0_0005	.equ	597
pl0e_218_0_0006	.equ	598
pl0e_218_0_0007	.equ	599
pl0e_218_0_0008	.equ	600
pl0e_218_0_0009	.equ	601
pl0e_218_0_000a	.equ	602
pl0e_218_0_000b	.equ	603
pl0e_227_0000	.equ	604
pl0e_227_0001	.equ	605
pl0e_227_0002	.equ	606
pl0e_227_0003	.equ	607
pl0e_227_0004	.equ	608
pl0e_228_0000	.equ	609
pl0e_228_0001	.equ	610
pl0e_228_0002	.equ	611
pl0e_229_0_0000	.equ	612
pl0e_229_0_0001	.equ	613
pl0e_229_0_0002	.equ	614
pl0e_229_0_0003	.equ	615
pl0e_400_0000	.equ	616
pl0e_400_0001	.equ	617
pl0e_401_0000	.equ	618
pl0e_401_0001	.equ	619
pl0e_402_0000	.equ	620
pl0e_402_0001	.equ	621
pl0e_402_0002	.equ	622
pl0e_403_0000	.equ	623
pl0e_404_0000	.equ	624
pl0e_404_0001	.equ	625
pl0e_404_0002	.equ	626
pl0e_404_0003	.equ	627
pl0e_404_0004	.equ	628
pl0e_405_0000	.equ	629
pl0e_406_0000	.equ	630
pl0e_406_0001	.equ	631
pl0e_406_0002	.equ	632
pl0e_406_0003	.equ	633
pl0e_406_0004	.equ	634
pl0e_406_0005	.equ	635
pl0e_430_0000	.equ	636
pl0e_430_0001	.equ	637
pl0e_430_0002	.equ	638
pl0e_430_0003	.equ	639
pl0e_430_0004	.equ	640
pl0e_430_0005	.equ	641
pl0e_430_0006	.equ	642
pl0e_430_0007	.equ	643
pl0e_430_0008	.equ	644
pl0e_430_0009	.equ	645
pl0e_430_000a	.equ	646
pl0e_430_000b	.equ	647
pl0e_430_000c	.equ	648
pl0e_430_000d	.equ	649
pl0e_430_000e	.equ	650
pl0e_430_000f	.equ	651
pl0e_430_0010	.equ	652
pl0e_430_0011	.equ	653
pl0e_430_0012	.equ	654
pl0e_430_0013	.equ	655
pl0e_430_0014	.equ	656
pl0e_430_0015	.equ	657
pl0e_430_0016	.equ	658
pl0e_430_0017	.equ	659
pl0e_430_0018	.equ	660
pl0e_430_0019	.equ	661
pl0e_430_001a	.equ	662
pl0e_430_001b	.equ	663
pl0e_430_001c	.equ	664
pl0e_430_001d	.equ	665
pl0e_430_001e	.equ	666
pl0e_430_001f	.equ	667
pl0e_430_0020	.equ	668
pl0e_430_0021	.equ	669
pl0e_430_0022	.equ	670
pl0e_430_0023	.equ	671
pl0e_430_0024	.equ	672
pl0e_430_0025	.equ	673
pl0e_430_0026	.equ	674
pl0e_430_0027	.equ	675
pl0e_430_0028	.equ	676
pl0e_430_0029	.equ	677
pl0e_430_002a	.equ	678
pl0e_430_002b	.equ	679
pl0e_430_002c	.equ	680
pl0e_430_002d	.equ	681
pl0e_430_002e	.equ	682
pl0e_430_002f	.equ	683
pl0e_430_0030	.equ	684
pl0e_430_0031	.equ	685
pl0e_430_0032	.equ	686
pl0e_430_0033	.equ	687
pl0e_430_0034	.equ	688
pl0e_430_0035	.equ	689
pl0e_430_0036	.equ	690
pl0e_430_0037	.equ	691
pl0e_430_0038	.equ	692
pl0e_430_0039	.equ	693
pl0e_430_003a	.equ	694
pl0e_430_003b	.equ	695
pl0e_430_003c	.equ	696
pl0e_430_003d	.equ	697
pl0e_430_003e	.equ	698
pl0e_430_003f	.equ	699
pl0e_430_0040	.equ	700
pl0e_430_0041	.equ	701
pl0e_430_0042	.equ	702
pl0e_430_0043	.equ	703
pl0e_430_0044	.equ	704
pl0e_430_0045	.equ	705
pl0e_430_0046	.equ	706
pl0e_430_0047	.equ	707
pl0e_430_0048	.equ	708
pl0e_430_0049	.equ	709
pl0e_430_004a	.equ	710
pl0e_430_004b	.equ	711
pl0e_430_004c	.equ	712
pl0e_430_004d	.equ	713
pl0e_430_004e	.equ	714
pl0e_430_004f	.equ	715
pl0e_430_0050	.equ	716
pl0e_430_0051	.equ	717
pl0e_430_0052	.equ	718
pl0e_430_0053	.equ	719
pl0e_430_0054	.equ	720
pl0e_430_0055	.equ	721
pl0e_430_0056	.equ	722
pl0e_430_0057	.equ	723
pl0e_430_0058	.equ	724
pl0e_430_0059	.equ	725
pl0e_430_005a	.equ	726
pl0e_430_005b	.equ	727
pl0e_430_005c	.equ	728
pl0e_430_005d	.equ	729
pl0e_430_005e	.equ	730
pl0e_430_005f	.equ	731
pl0e_430_0060	.equ	732
pl0e_800_0_0000	.equ	733
pl0e_800_0_0003	.equ	734
pl0e_800_0_0004	.equ	735
pl0e_800_0_0005	.equ	736
pl0e_800_0_0006	.equ	737
pl0e_800_0_0007	.equ	738
pl0e_800_0_0008	.equ	739
pl0e_800_0_0009	.equ	740
pl0e_800_0_000a	.equ	741
pl0e_800_0_000b	.equ	742
pl0e_800_0_000c	.equ	743
pl0e_800_0_000d	.equ	744
pl0e_800_0_000e	.equ	745
pl0e_800_0_000f	.equ	746
pl0e_800_1_0000	.equ	747
pl0e_800_1_0003	.equ	748
pl0e_800_1_0004	.equ	749
pl0e_800_1_0005	.equ	750
pl0e_800_1_0006	.equ	751
pl0e_800_1_0007	.equ	752
pl0e_800_1_0008	.equ	753
pl0e_800_2_0000	.equ	754
pl0e_800_2_0003	.equ	755
pl0e_800_2_0004	.equ	756
pl0e_800_2_0005	.equ	757
pl0e_800_2_0006	.equ	758
pl0e_800_2_0007	.equ	759
pl0e_800_2_0008	.equ	760
pl0e_800_3_0000	.equ	761
pl0e_800_3_0003	.equ	762
pl0e_800_3_0004	.equ	763
pl0e_800_3_0005	.equ	764
pl0e_800_3_0006	.equ	765
pl0e_800_3_0007	.equ	766
pl0e_800_3_0008	.equ	767
pl0e_800_4_0000	.equ	768
pl0e_800_4_0003	.equ	769
pl0e_800_4_0004	.equ	770
pl0e_800_4_0005	.equ	771
pl0e_800_4_0006	.equ	772
pl0e_800_4_0007	.equ	773
pl0e_800_4_0008	.equ	774
pl0e_800_5_0000	.equ	775
pl0e_800_5_0003	.equ	776
pl0e_800_5_0004	.equ	777
pl0e_800_5_0005	.equ	778
pl0e_800_5_0006	.equ	779
pl0e_800_5_0007	.equ	780
pl0e_800_5_0008	.equ	781
pl0e_800_6_0000	.equ	782
pl0e_800_6_0003	.equ	783
pl0e_800_6_0004	.equ	784
pl0e_800_6_0005	.equ	785
pl0e_800_6_0006	.equ	786
pl0e_800_6_0007	.equ	787
pl0e_800_6_0008	.equ	788
pl0e_800_7_0000	.equ	789
pl0e_800_7_0003	.equ	790
pl0e_800_7_0004	.equ	791
pl0e_800_7_0005	.equ	792
pl0e_800_7_0006	.equ	793
pl0e_800_7_0007	.equ	794
pl0e_800_7_0008	.equ	795
pl0e_800_8_0000	.equ	796
pl0e_800_8_0003	.equ	797
pl0e_800_8_0004	.equ	798
pl0e_800_8_0005	.equ	799
pl0e_800_8_0006	.equ	800
pl0e_800_8_0007	.equ	801
pl0e_800_8_0008	.equ	802
pl0e_800_9_0000	.equ	803
pl0e_800_9_0003	.equ	804
pl0e_800_9_0004	.equ	805
pl0e_800_9_0005	.equ	806
pl0e_800_9_0006	.equ	807
pl0e_800_9_0007	.equ	808
pl0e_800_9_0008	.equ	809
pl0e_800_a_0000	.equ	810
pl0e_800_a_0003	.equ	811
pl0e_800_a_0004	.equ	812
pl0e_800_a_0005	.equ	813
pl0e_800_a_0006	.equ	814
pl0e_800_a_0007	.equ	815
pl0e_800_a_0008	.equ	816
pl0e_800_b_0000	.equ	817
pl0e_800_b_0003	.equ	818
pl0e_800_b_0004	.equ	819
pl0e_800_b_0005	.equ	820
pl0e_800_b_0006	.equ	821
pl0e_800_b_0007	.equ	822
pl0e_800_b_0008	.equ	823
pl0e_801_0_0000	.equ	824
pl0e_801_0_0003	.equ	825
pl0e_801_0_0004	.equ	826
pl0e_801_0_0005	.equ	827
pl0e_801_0_0006	.equ	828
pl0e_801_0_0007	.equ	829
pl0e_801_0_0008	.equ	830
pl0e_801_0_0009	.equ	831
pl0e_801_0_000a	.equ	832
pl0e_801_0_000b	.equ	833
pl0e_801_0_000c	.equ	834
pl0e_801_0_000d	.equ	835
pl0e_801_0_000e	.equ	836
pl0e_801_0_000f	.equ	837
pl0e_801_1_0000	.equ	838
pl0e_801_1_0003	.equ	839
pl0e_801_1_0004	.equ	840
pl0e_801_1_0005	.equ	841
pl0e_801_1_0006	.equ	842
pl0e_801_1_0007	.equ	843
pl0e_801_1_0008	.equ	844
pl0e_801_2_0000	.equ	845
pl0e_801_2_0003	.equ	846
pl0e_801_2_0004	.equ	847
pl0e_801_2_0005	.equ	848
pl0e_801_2_0006	.equ	849
pl0e_801_2_0007	.equ	850
pl0e_801_2_0008	.equ	851
pl0e_801_3_0000	.equ	852
pl0e_801_3_0003	.equ	853
pl0e_801_3_0004	.equ	854
pl0e_801_3_0005	.equ	855
pl0e_801_3_0006	.equ	856
pl0e_801_3_0007	.equ	857
pl0e_801_3_0008	.equ	858
pl0e_801_4_0000	.equ	859
pl0e_801_4_0003	.equ	860
pl0e_801_4_0004	.equ	861
pl0e_801_4_0005	.equ	862
pl0e_801_4_0006	.equ	863
pl0e_801_4_0007	.equ	864
pl0e_801_4_0008	.equ	865
pl0e_801_5_0000	.equ	866
pl0e_801_5_0003	.equ	867
pl0e_801_5_0004	.equ	868
pl0e_801_5_0005	.equ	869
pl0e_801_5_0006	.equ	870
pl0e_801_5_0007	.equ	871
pl0e_801_5_0008	.equ	872
pl0e_801_6_0000	.equ	873
pl0e_801_6_0003	.equ	874
pl0e_801_6_0004	.equ	875
pl0e_801_6_0005	.equ	876
pl0e_801_6_0006	.equ	877
pl0e_801_6_0007	.equ	878
pl0e_801_6_0008	.equ	879
pl0e_801_7_0000	.equ	880
pl0e_801_7_0003	.equ	881
pl0e_801_7_0004	.equ	882
pl0e_801_7_0005	.equ	883
pl0e_801_7_0006	.equ	884
pl0e_801_7_0007	.equ	885
pl0e_801_7_0008	.equ	886
pl0e_801_8_0000	.equ	887
pl0e_801_8_0003	.equ	888
pl0e_801_8_0004	.equ	889
pl0e_801_8_0005	.equ	890
pl0e_801_8_0006	.equ	891
pl0e_801_8_0007	.equ	892
pl0e_801_8_0008	.equ	893
pl0e_801_9_0000	.equ	894
pl0e_801_9_0003	.equ	895
pl0e_801_9_0004	.equ	896
pl0e_801_9_0005	.equ	897
pl0e_801_9_0006	.equ	898
pl0e_801_9_0007	.equ	899
pl0e_801_9_0008	.equ	900
pl0e_801_a_0000	.equ	901
pl0e_801_a_0003	.equ	902
pl0e_801_a_0004	.equ	903
pl0e_801_a_0005	.equ	904
pl0e_801_a_0006	.equ	905
pl0e_801_a_0007	.equ	906
pl0e_801_a_0008	.equ	907
pl0e_801_b_0000	.equ	908
pl0e_801_b_0003	.equ	909
pl0e_801_b_0004	.equ	910
pl0e_801_b_0005	.equ	911
pl0e_801_b_0006	.equ	912
pl0e_801_b_0007	.equ	913
pl0e_801_b_0008	.equ	914
pl0e_802_0_0000	.equ	915
pl0e_802_0_0003	.equ	916
pl0e_802_0_0004	.equ	917
pl0e_802_0_0005	.equ	918
pl0e_802_0_0006	.equ	919
pl0e_802_0_0007	.equ	920
pl0e_802_0_0008	.equ	921
pl0e_802_0_0009	.equ	922
pl0e_802_0_000a	.equ	923
pl0e_802_0_000b	.equ	924
pl0e_802_0_000c	.equ	925
pl0e_802_0_000d	.equ	926
pl0e_802_0_000e	.equ	927
pl0e_802_0_000f	.equ	928
pl0e_802_1_0000	.equ	929
pl0e_802_1_0003	.equ	930
pl0e_802_1_0004	.equ	931
pl0e_802_1_0005	.equ	932
pl0e_802_1_0006	.equ	933
pl0e_802_1_0007	.equ	934
pl0e_802_1_0008	.equ	935
pl0e_802_2_0000	.equ	936
pl0e_802_2_0003	.equ	937
pl0e_802_2_0004	.equ	938
pl0e_802_2_0005	.equ	939
pl0e_802_2_0006	.equ	940
pl0e_802_2_0007	.equ	941
pl0e_802_2_0008	.equ	942
pl0e_802_3_0000	.equ	943
pl0e_802_3_0003	.equ	944
pl0e_802_3_0004	.equ	945
pl0e_802_3_0005	.equ	946
pl0e_802_3_0006	.equ	947
pl0e_802_3_0007	.equ	948
pl0e_802_3_0008	.equ	949
pl0e_802_4_0000	.equ	950
pl0e_802_4_0003	.equ	951
pl0e_802_4_0004	.equ	952
pl0e_802_4_0005	.equ	953
pl0e_802_4_0006	.equ	954
pl0e_802_4_0007	.equ	955
pl0e_802_4_0008	.equ	956
pl0e_802_5_0000	.equ	957
pl0e_802_5_0003	.equ	958
pl0e_802_5_0004	.equ	959
pl0e_802_5_0005	.equ	960
pl0e_802_5_0006	.equ	961
pl0e_802_5_0007	.equ	962
pl0e_802_5_0008	.equ	963
pl0e_802_6_0000	.equ	964
pl0e_802_6_0003	.equ	965
pl0e_802_6_0004	.equ	966
pl0e_802_6_0005	.equ	967
pl0e_802_6_0006	.equ	968
pl0e_802_6_0007	.equ	969
pl0e_802_6_0008	.equ	970
pl0e_802_7_0000	.equ	971
pl0e_802_7_0003	.equ	972
pl0e_802_7_0004	.equ	973
pl0e_802_7_0005	.equ	974
pl0e_802_7_0006	.equ	975
pl0e_802_7_0007	.equ	976
pl0e_802_7_0008	.equ	977
pl0e_802_8_0000	.equ	978
pl0e_802_8_0003	.equ	979
pl0e_802_8_0004	.equ	980
pl0e_802_8_0005	.equ	981
pl0e_802_8_0006	.equ	982
pl0e_802_8_0007	.equ	983
pl0e_802_8_0008	.equ	984
pl0e_802_9_0000	.equ	985
pl0e_802_9_0003	.equ	986
pl0e_802_9_0004	.equ	987
pl0e_802_9_0005	.equ	988
pl0e_802_9_0006	.equ	989
pl0e_802_9_0007	.equ	990
pl0e_802_9_0008	.equ	991
pl0e_802_a_0000	.equ	992
pl0e_802_a_0003	.equ	993
pl0e_802_a_0004	.equ	994
pl0e_802_a_0005	.equ	995
pl0e_802_a_0006	.equ	996
pl0e_802_a_0007	.equ	997
pl0e_802_a_0008	.equ	998
pl0e_802_b_0000	.equ	999
pl0e_802_b_0003	.equ	1000
pl0e_802_b_0004	.equ	1001
pl0e_802_b_0005	.equ	1002
pl0e_802_b_0006	.equ	1003
pl0e_802_b_0007	.equ	1004
pl0e_802_b_0008	.equ	1005
pl0e_803_0000	.equ	1006
pl0e_803_0001	.equ	1007
pl0e_803_0002	.equ	1008
pl0e_803_0003	.equ	1009
pl0e_803_0004	.equ	1010
pl0e_803_0005	.equ	1011
pl0e_803_0006	.equ	1012
pl0e_803_0007	.equ	1013
pl0e_803_0008	.equ	1014
pl0e_803_0009	.equ	1015
pl0e_803_000a	.equ	1016
pl0e_803_000b	.equ	1017
pl0e_803_000c	.equ	1018
pl0e_803_000d	.equ	1019
pl0e_828_0_000f	.equ	1020
pl0e_828_0_0010	.equ	1021
pl0e_828_0_0011	.equ	1022
pl0e_828_0_0012	.equ	1023
pl0e_828_0_0013	.equ	1024
pl0e_829_0000	.equ	1025
pl0e_829_0001	.equ	1026
pl0e_829_0002	.equ	1027
pl0e_829_0003	.equ	1028
pl0e_829_0004	.equ	1029
pl0e_829_0005	.equ	1030
pl0e_829_0006	.equ	1031
pl0e_829_0007	.equ	1032
pl0e_829_0008	.equ	1033
pl0e_829_0009	.equ	1034
pl0e_829_000a	.equ	1035
pl0e_829_000b	.equ	1036
pl0e_829_000c	.equ	1037
pl0e_829_000d	.equ	1038
pl0e_829_000e	.equ	1039
pl0e_829_000f	.equ	1040
pl0e_829_0010	.equ	1041
pl0e_829_0011	.equ	1042
pl0e_829_0012	.equ	1043
pl0e_829_0013	.equ	1044
pl0e_829_0014	.equ	1045
pl0e_829_0015	.equ	1046
pl0e_829_0016	.equ	1047
pl0e_829_0017	.equ	1048
pl0e_829_0018	.equ	1049
pl0e_829_0019	.equ	1050
pl0e_829_001a	.equ	1051
pl0e_829_001b	.equ	1052
pl0e_829_001c	.equ	1053
pl0e_829_001d	.equ	1054
pl0e_829_001e	.equ	1055
pl0e_829_001f	.equ	1056
pl0e_829_0020	.equ	1057
pl0e_829_0021	.equ	1058
pl0e_829_0022	.equ	1059
pl0e_829_0023	.equ	1060
pl0e_829_0024	.equ	1061
pl0e_829_0025	.equ	1062
pl0e_829_0026	.equ	1063
pl0e_829_0027	.equ	1064
pl0e_829_0028	.equ	1065
pl0e_829_0029	.equ	1066
pl0e_829_002a	.equ	1067
pl0e_829_002b	.equ	1068
pl0e_829_002c	.equ	1069
pl0e_829_002d	.equ	1070
pl0e_829_002e	.equ	1071
pl0e_829_002f	.equ	1072
pl0e_829_0030	.equ	1073
pl0e_829_0031	.equ	1074
pl0e_829_0032	.equ	1075
pl0e_829_0033	.equ	1076
pl0e_829_0034	.equ	1077
pl0e_829_0035	.equ	1078
pl0e_829_0036	.equ	1079
pl0e_829_0037	.equ	1080
pl0e_829_0038	.equ	1081
pl0e_829_0039	.equ	1082
pl0e_829_003a	.equ	1083
pl0e_829_003b	.equ	1084
pl0e_829_003c	.equ	1085
pl0e_829_003d	.equ	1086
pl0e_830_0000	.equ	1087
pl0e_830_0001	.equ	1088
pl0e_830_0002	.equ	1089
pl0e_830_0003	.equ	1090
pl0e_830_0004	.equ	1091
pl0e_830_0005	.equ	1092
pl0e_830_0006	.equ	1093
pl0e_830_0007	.equ	1094
pl0e_830_0008	.equ	1095
pl0e_830_0009	.equ	1096
pl0e_830_000a	.equ	1097
pl0e_830_000b	.equ	1098
pl0e_830_000c	.equ	1099
pl0e_830_000d	.equ	1100
pl0e_830_000e	.equ	1101
pl0e_830_000f	.equ	1102
pl0e_830_0010	.equ	1103
pl0e_830_0011	.equ	1104
pl0e_830_0012	.equ	1105
pl0e_830_0013	.equ	1106
pl0e_830_0014	.equ	1107
pl0e_830_0015	.equ	1108
pl0e_830_0016	.equ	1109
pl0e_830_0017	.equ	1110
pl0e_830_0018	.equ	1111
pl0e_830_0019	.equ	1112
pl0e_830_001a	.equ	1113
pl0e_830_001b	.equ	1114
pl0e_830_001c	.equ	1115
pl0e_830_001d	.equ	1116
pl0e_830_001e	.equ	1117
pl0e_830_001f	.equ	1118
pl0e_830_0020	.equ	1119
pl0e_830_0021	.equ	1120
pl0e_830_0022	.equ	1121
pl0e_830_0023	.equ	1122
pl0e_830_0024	.equ	1123
pl0e_830_0025	.equ	1124
pl0e_830_0026	.equ	1125
pl0e_830_0027	.equ	1126
pl0e_830_0028	.equ	1127
pl0e_830_0029	.equ	1128
pl0e_830_002a	.equ	1129
pl0e_830_002b	.equ	1130
pl0e_830_002c	.equ	1131
pl0e_830_002d	.equ	1132
pl0e_830_002e	.equ	1133
pl0e_830_002f	.equ	1134
pl0e_830_0030	.equ	1135
pl0e_830_0031	.equ	1136
pl0e_830_0032	.equ	1137
pl0e_830_0033	.equ	1138
pl0e_830_0034	.equ	1139
pl0e_830_0035	.equ	1140
pl0e_830_0036	.equ	1141
pl0e_830_0037	.equ	1142
pl0e_830_0038	.equ	1143
pl0e_830_0039	.equ	1144
pl0e_830_003a	.equ	1145
pl0e_830_003b	.equ	1146
pl0e_830_003c	.equ	1147
pl0e_830_003d	.equ	1148
pl0e_830_003e	.equ	1149
pl0e_830_003f	.equ	1150
pl0e_830_0040	.equ	1151
pl0e_830_0041	.equ	1152
pl0e_830_0042	.equ	1153
pl0e_830_0043	.equ	1154
pl0e_830_0044	.equ	1155
pl0e_830_0045	.equ	1156
pl0e_830_0046	.equ	1157
pl0e_830_0047	.equ	1158
pl0e_830_0048	.equ	1159
pl0e_830_0049	.equ	1160
pl0e_830_004a	.equ	1161
pl0e_830_004b	.equ	1162
pl0e_830_004c	.equ	1163
pl0e_830_004d	.equ	1164
pl0e_830_004e	.equ	1165
pl0e_830_004f	.equ	1166
pl0e_830_0050	.equ	1167
pl0e_830_0051	.equ	1168
pl0e_830_0052	.equ	1169
pl0e_830_0053	.equ	1170
pl0e_830_0054	.equ	1171
pl0e_830_0055	.equ	1172
pl0e_830_0056	.equ	1173
pl0e_830_0057	.equ	1174
pl0e_830_0058	.equ	1175
pl0e_830_0059	.equ	1176
pl0e_830_005a	.equ	1177
pl0e_830_005b	.equ	1178
pl0e_830_005c	.equ	1179
pl0e_830_005d	.equ	1180
pl0e_830_005e	.equ	1181
pl0e_830_005f	.equ	1182
pl0e_830_0060	.equ	1183

_ef0e_pat_end	.equ	33
_pl0e_pat_end	.equ	1184

0x707080:

                     H'0C1E7380  -  H'0C1E73D5  H'00000056
              _rsfts                           _rsfts                          
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    34

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   CODE  NOSHR

P                                 H'0C1E73D8  -  H'0C1E744F  H'00000078
              _sub                             _sub                            
                                  H'0C1E7450  -  H'0C1E7483  H'00000034
              _umemcmp                         _umemcmp                        
                                  H'0C1E7484  -  H'0C1E758B  H'00000108
              _unpack                          _unpack                         
                                  H'0C1E758C  -  H'0C1E75FF  H'00000074
              longjmp                          longjmp                         
                                  H'0C1E7600  -  H'0C1E7665  H'00000066
              setjmp                           setjmp                          
                                  H'0C1E7668  -  H'0C1E77FB  H'00000194
              _div64                           _div64                          
                                  H'0C1E77FC  -  H'0C1E783F  H'00000044
              _duchek                          _duchek                         
                                  H'0C1E7840  -  H'0C1E7885  H'00000046
              _lsft                            _lsft                           
                                  H'0C1E7888  -  H'0C1E78F7  H'00000070
              _mult64                          _mult64                         
                                  H'0C1E78F8  -  H'0C1E7913  H'0000001C
              _mvito4b                         _mvito4b                        
                                  H'0C1E7914  -  H'0C1E7A67  H'00000154
              _power                           _power                          
                                  H'0C1E7A68  -  H'0C1E7B53  H'000000EC
              _rnd                             _rnd                            
                                  H'0C1E7B54  -  H'0C1E7BD7  H'00000084
              _setsbit                         _setsbit                        
                                  H'0C1E7BD8  -  H'0C1E7C1F  H'00000048
              _add                             _add                            
                                  H'0C1E7C20  -  H'0C1E7CEB  H'000000CC
              _mult                            _mult                           
                                  H'0C1E7CEC  -  H'0C1E7D83  H'00000098
              _pow10                           _pow10                          
                                  H'0C1E7D84  -  H'0C1E7DCD  H'0000004A
              _rsft                            _rsft                           
 

mpr-23055.ic24

0x11DA0:

         
                                  H'0C212054  -  H'0C2120C7  H'00000074
              shl0c_00                         shl0c_00                        
                                  H'0C2120C8  -  H'0C21210B  H'00000044
              shl0c_01                         shl0c_01                        
                                  H'0C21210C  -  H'0C212117  H'0000000C
              shl0c_02                         shl0c_02                        
                                  H'0C212118  -  H'0C2121DB  H'000000C4
              shl0d_00                         shl0d_00                        
                                  H'0C2121DC  -  H'0C212243  H'00000068
              shl0d_01                         shl0d_01                        
                                  H'0C212244  -  H'0C2122AB  H'00000068
              shl0d_02                         shl0d_02                        
                                  H'0C2122AC  -  H'0C2122B7  H'0000000C
              shl0e_00                         shl0e_00                        
                                  H'0C2122B8  -  H'0C2122C7  H'00000010
              shl0e_01                         shl0e_01                        
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    49

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   DATA  NOSHR

D                                 H'0C2122C8  -  H'0C2122DF  H'00000018
              shl0e_02                         shl0e_02                        
                                  H'0C2122E0  -  H'0C212323  H'00000044
              shl0e_03                         shl0e_03                        
                                  H'0C212324  -  H'0C212347  H'00000024
              shl0f_00                         shl0f_00                        
                                  H'0C212348  -  H'0C212363  H'0000001C
              shl0f_01                         shl0f_01                        
                                  H'0C212364  -  H'0C2123DB  H'00000078
              shl0f_02                         shl0f_02                        
                                  H'0C2123DC  -  H'0C21241F  H'00000044
              shl0f_03                         shl0f_03                        
                                  H'0C212420  -  H'0C212463  H'00000044
              shl0f_04                         shl0f_04                        
                                  H'0C212464  -  H'0C21248B  H'00000028
              shl0f_05                         shl0f_05                        
                                  H'0C21248C  -  H'0C2124EB  H'00000060
              shl10_00                         shl10_00                        
                                  H'0C2124EC  -  H'0C21253B  H'00000050
              shl10_01                         shl10_01   

0x1A9A0:

000084
              pls12_00                         pls12_00                        
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    58

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   DATA  NOSHR

D                                 H'0C21B5A8  -  H'0C21B683  H'000000DC
              pls13_00                         pls13_00                        
                                  H'0C21B684  -  H'0C21B6C3  H'00000040
              pls14_00                         pls14_00                        
                                  H'0C21B6C4  -  H'0C21B797  H'000000D4
              pls14_01                         pls14_01                        
                                  H'0C21B798  -  H'0C21B7F3  H'0000005C
              pls14_02                         pls14_02                        
                                  H'0C21B7F4  -  H'0C21B833  H'00000040
              pls15_00                         pls15_00                        
                                  H'0C21B834  -  H'0C21B857  H'00000024
              pls15_01                         pls15_01                        
                                  H'0C21B858  -  H'0C21B87F  H'00000028
              pls15_02                         pls15_02                        
                                  H'0C21B880  -  H'0C21B8B3  H'00000034
              pls16_00                         pls16_00                        
                                  H'0C21B8B4  -  H'0C21B8DB  H'00000028
              pls16_01                         pls16_01                        
                                  H'0C21B8DC  -  H'0C21B8FB  H'00000020
              pls16_02                         pls16_02                        
                                  H'0C21B8FC  -  H'0C21B913  H'00000018
              pls16_03                         pls16_03                        
                                  H'0C21B914  -  H'0C21B927  H'00000014
              pls16_04                         pls16_04                        
                                  H'0C21B928  -  H'0C21B937  H'00000010
              pls16_05                         pls16_05                        
                                  H'0C21B938  -  H'0C21B953  H'0000001C
              pls16_06                         pls16_06                        
                                  H'0C21B954  -  H'0C21B963  H'00000010
              pls16_07                         pls16_07                        
                                  H'0C21B964  -  H'0C21B97B  H'00000018
              pls16_08                         pls16_08                        
                                  H'0C21B97C  -  H'0C21B9AB  H'00000030
              pls17_00                         pls17_00                        
                                  H'0C21B9AC  -  H'0C21B9BB  H'00000010
              pls17_01                         pls17_01                        
                                  H'0C21B9BC  -  H'0C21B9CB  H'00000010
              pls17_02                         pls17_02                        
                                  H'0C21B9CC  -  H'0C21BA1B  H'00000050
              pls17_03                         pls17_03                        
                                  H'0C21BA1C  -  H'0C21BA7B  H'00000060
              pls17_04                         pls17_04                        
                                  H'0C21BA7C  -  H'0C21BAA3  H'00000028
              pls17_05                         pls17_05                        
                                  H'0C21BAA4  -  H'0C21BAE3  H'00000040
              pls17_06                         pls17_06                        
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    59

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   DATA  NOSHR

D                                 H'0C21BAE4  -  H'0C21BC7F  H'0000019C
              pls18_00                         pls18_00                        
                                  H'0C21BC80  -  H'0C21BD87  H'00000108
              pls18_01                         pls18_01                        
                                  H'0C21BD88  -  H'0C21BD9F  H'00000018
              pls18_02                         pls18_02                        
                                  H'0C21BDA0  -  H'0C21BE77  H'000000D8
              pls19_00                         pls19_00                        
                                  H'0C21BE78  -  H'0C21BE9F  H'00000028
              pls19_01                         pls19_01                        
                                  H'0C21BEA0  -  H'0C21BEAF  H'00000010
              pls19_02                         pls19_02                        
                                  H'0C21BEB0  -  H'0C21BF1B  H'0000006C
              pls1a_00                         pls1a_00                        
                                  H'0C21BF1C  -  H'0C21C273  H'00000358
              pls1c_00                         pls1c_00                        
                                  H'0C21C274  -  H'0C21C283  H'00000010
              pls1c_01                  

0x9FF80:

            kmyConnectInterrupt_kmyint_lib_  kmyConnectInterrupt_kmyint_lib_ 
                                  H'0C2409A0  -  H'0C240B3F  H'000001A0
              kmyCopyToTexture_kmybase_lib_    kmyCopyToTexture_kmybase_lib_   
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    75

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   CODE  NOSHR

PSG                               H'0C240B40  -  H'0C2410FF  H'000005C0
              kmyCreateRegionArray_kmynative_lib_
                                               kmyCreateRegionArray_kmynative_lib_
                                  H'0C241100  -  H'0C24117F  H'00000080
              kmyEnableHolizontalScaler_kmynative_lib_
                                               kmyEnableHolizontalScaler_kmynative_lib_
                                  H'0C241180  -  H'0C2411DF  H'00000060
              kmyEnableInterrupt_kmyint_lib_   kmyEnableInterrupt_kmyint_lib_  
                                  H'0C2411E0  -  H'0C2414DF  H'00000300
              kmyFrameBuffer_kmydisplay_lib_   kmyFrameBuffer_kmydisplay_lib_  
                                  H'0C2414E0  -  H'0C24167F  H'000001A0
              kmyFreeArea_tex_lib_             kmyFreeArea_tex_lib_            
                                  H'0C241680  -  H'0C2417E7  H'00000168
              kmyFreeSmallVQArea_tex_lib_      kmyFreeSmallVQArea_tex_lib_     
                                  H'0C241800  -  H'0C241C5F  H'00000460
              kmyGarbageCollection_tex_lib_    kmyGarbageCollection_tex_lib_   
                                  H'0C241C60  -  H'0C241E1F  H'000001C0
              kmyGetDMAHANDLE_kmydma_lib_      kmyGetDMAHANDLE_kmydma_lib_     
                                  H'0C241E20  -  H'0C241F67  H'00000148
              kmyGetDefaultFilterMode_kmynative_lib_
                                               kmyGetDefaultFilterMode_kmynative_lib_
                                  H'0C241F80  -  H'0C241FBD  H'0000003E
              kmyGetDisplayRegionSize_kmydisplay_lib_
                                               kmyGetDisplayRegionSize_kmydisplay_lib_
                                  H'0C241FC0  -  H'0C24217F  H'000001C0
              kmyGetFreeSize_tex_lib_          kmyGetFreeSize_tex_lib_         
                                  H'0C242180  -  H'0C2421BF  H'00000040
              kmyGetInterruptLevel_kmyint_lib_ kmyGetInterruptLevel_kmyint_lib_
                                  H'0C2421C0  -  H'0C2421FF  H'00000040
              kmyGetLastTextureDMAID_kmybase_lib_
                                               kmyGetLastTextureDMAID_kmybase_lib_
                                  H'0C242200  -  H'0C24227F  H'00000080
              kmyGetPassInfo_kmynative_lib_    kmyGetPassInfo_kmynative_lib_   
                                  H'0C242280  -  H'0C24245F  H'000001E0
              kmyInitAllocator_tex_lib_        kmyInitAllocator_tex_lib_       
                                  H'0C242460  -  H'0C24255F  H'00000100
              kmyInitDMADevice_kmydma_lib_     kmyInitDMADevice_kmydma_lib_    
                                  H'0C242560  -  H'0C24261F  H'000000C0
              kmyInitializeCallback_kmysignal_lib_
                                               kmyInitializeCallback_kmysignal_lib_
                                  H'0C242620  -  H'0C242A1F  H'00000400
              kmyInitializeInterrupt_kmyint_lib_
                                               kmyInitializeInterrupt_kmyint_lib_
                                  H'0C242A20  -  H'0C242CBF  H'000002A0
              kmyInitializeJAMMA15KHz_kmydisplay_lib_
                                               kmyInitializeJAMMA15KHz_kmydisplay_lib_
                                  H'0C242CC0  -  H'0C242DFF  H'00000140
              kmyInitializeJAMMA31KHz_kmydisplay_lib_
                                               kmyInitializeJAMMA31KHz_kmydisplay_lib_
                                  H'0C242E00  -  H'0C24303F  H'00000240
              kmyInitializeNTSC_kmydisplay_lib_
                                               kmyInitializeNTSC_kmydisplay_lib_
                                  H'0C243040  -  H'0C24343F  H'00000400
              kmyInitializePAL_kmydisplay_lib_ kmyInitializePAL_kmydisplay_lib_
                                  H'0C243440  -  H'0C24355F  H'00000120
              kmyInitializeVGA_kmydisplay_lib_ kmyInitializeVGA_kmydisplay_lib_
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    76

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   CODE  NOSHR

PSG                               H'0C243560  -  H'0C24359F  H'00000040
              kmyIsEnabledASyncCopy_kmybase_lib_
                                               kmyIsEnabledASyncCopy_kmybase_lib_
                                  H'0C2435A0  -  H'0C24371F  H'0000

0x1BA400:

  H'0C258F40  H'00000000
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    96

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   DATA  NOSHR

DSGMW12                           H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   DATA  NOSHR

DSGMW13                           H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   DATA  NOSHR

DSGMW14                           H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   DATA  NOSHR

DSGMW15                           H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD00                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD01                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    97

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   CODE  NOSHR

PSGSFD02                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD03                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD04                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD05                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD06                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD07                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    98

                  ***     LINKAGE EDITOR LINK MAP LIST      ***


SECTION NAME                         START    -     END        LENGTH
              UNIT NAME                        MODULE NAME


ATTRIBUTE  :   CODE  NOSHR

PSGSFD08                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD09                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD10                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  H'00000000


ATTRIBUTE  :   CODE  NOSHR

PSGSFD11                          H'0C258F40  -  H'0C258F40  H'00000000
              strt1                            strt1                           

* TOTAL ADDRESS *                 H'0C258F40  -  H'0C258F40  

0x2E13C0:

03
		.data.l		pl17_151_0009
		.data.b		H'80,H'11,H'00,H'01
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0500,H'0001

		.data.w		H'0000,H'0003
		.data.l		pl17_151_0009
		.data.b		H'80,H'11,H'00,H'01
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0600,H'0001

		.data.w		H'0000,H'0003
		.data.l		pl17_151_0009
		.data.b		H'80,H'11,H'00,H'01
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0700,H'0001

		.data.w		H'0000,H'0003
		.data.l		pl17_151_0009
		.data.b		H'80,H'11,H'00,H'01
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0800,H'0001

		.data.w		H'0000,H'0003
		.data.l		pl17_151_0009
		.data.b		H'80,H'11,H'00,H'01
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0900,H'0001

		.data.w		H'0000,H'0003
		.data.l		pl17_151_0009
		.data.b		H'80,H'11,H'00,H'01
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0a00,H'0001

		.data.w		H'0000,H'0003
		.data.l		pl17_151_0009
		.data.b		H'80,H'11,H'00,H'01
		.data.b		H'00,H'00,H'00,H'00
		.data.w		H'0b00,H'0001

		.data.w		H'0000,H'0003
		.data.l		p_PERSONAL_0 : pl_w->pl_sp_type = pl25_sp_fire  ; pl_w->atck_level = ATCK_H ; break ;
	case PL_PERSONAL_1 : pl_w->pl_sp_type = pl25_sp_frei  ; pl_w->atck_level = ATCK_H ; break ;
	case PL_PERSONAL_2 : pl_w->pl_sp_type = pl25_sp_brast ; pl_w->atck_level = ATCK_H ; break ;
	}
	act_st_set( pl_w, N_SPECIAL ) ;
}

/****************************************************************/
/*		ゼロカウンター											*/
/****************************************************************/
void pl25_sp_zero( PLAYER_WORK *pl_w )
{
	pl_w->routine_1 = PL_NORM ;
	pl_w->routine_2 = pl_w->routine_3 = 0 ;
	switch( pl_w->pl_personal ){
	case PL_PERSONAL_0 : pl_w->pl_sp_type = pl25_sp_fire  ; pl_w->atck_level = ATCK_H ; break ;
	case PL_PERSONAL_1 : pl_w->pl_sp_type = pl25_sp_frei  ; pl_w->atck_level = ATCK_H ; break ;
	case PL_PERSONAL_2 : pl_w->pl_sp_type = pl25_sp_brast ; pl_w->atck_level = ATCK_H ; break ;
	}
	act_st_set( pl_w, N_SPECIAL ) ;
}

/****************************************************************/
/*		起きあがりカラー替え									*/
/****************************************************************/
void pl25_dm_color( PLAYER_WORK *pl_w )
{
	if( pl_w->cg_flag1 == 0 ){
		return ;
	} else {
		if( (Sint8)pl_w->cg_flag1 > 0 ){
			pl_w->timer0 = pl_w->cg_flag1 ;
			pl_w->timer0 -= 2 ;
			pl_w->timer0 /= 2 ;
					
			pl_w->cg_flag1 = 0 ;
			
			/* 2p */
//			pl_w->timer0 += WARP_COL_2P ;
			
			/* カラー書き換え
				(書き換えるプレイヤーのワーク, 何本目のカラーか?(プレイヤー8本中),
				 転送したいカラーの番号, そこから何本書き換えるか?) */
			ef_color_set( pl_w, 0, pl_w->pl_color*5+pl_w->timer0, 1 ) ;
			
		} else {
			pl_w->cg_flag1 = 0 ;

			/* pl_color_reset */
			pl_color_set( pl_w, PC_DEFAULT ) ;
			return ;
		}
	}
}

/*==============================================================*/
/*==============================================================*/
/*==============================================================*/
/*==============================================================*/
/*==============================================================*/
/*==============================================================*/
/*==============================================================*/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/****************************************************************/
/*==============================================================*/
/*	End of File.												*/
/*==============================================================*/
/* "s_pl25.c" End of File	*/

0x2E25C0:

ORK *dm_w, PLAYER_WORK *at_w, Sint16 cnt )
{
	dm_w->combo_reset_flag = 0xff ;
	if(!(dm_w->combo_dm_cnt_old = dm_w->combo_dm_cnt ) ) dm_w->combo_damage = dm_w->vital_new ;

	/* コンボ数制限999 */
	if( ( at_w->combo_cnt = cnt ) > 999 ) at_w->combo_cnt = 999 ;

	/* ダメージ側コンボ数制限999*/
	if( ( dm_w->combo_dm_cnt = cnt ) > 999 ) dm_w->combo_dm_cnt = 999 ;

	/* トータルコンボ数制限999 */
	if( (vs_sys_work.combo_total[ at_w->pl_no ] += cnt ) > 999 )	/* To

0x34E3A0:

F_EntryErrFunc               H'0C237D18               ENT
_SJRBF_Error                      H'0C237C66               ENT
_SJRBF_Finish                     H'0C237C86               ENT
_SJRBF_GetBufPtr                  H'0C23804E               ENT
_SJRBF_GetBufSize                 H'0C238052               ENT
_SJRBF_GetChunk                   H'0C237D80               ENT
_SJRBF_GetNumData                 H'0C237D2C               ENT
_SJRBF_GetUuid                    H'0C237D14               ENT
_SJRBF_GetXtrSize                 H'0C238056               ENT
_SJRBF_Init                       H'0C237C6A               ENT
_SJRBF_IsGetChunk                 H'0C237FC8               ENT
_SJRBF_Lock                       H'0C237C38               ENT
_SJRBF_PutChunk                   H'0C237E52               ENT
_SJRBF_Reset                      H'0C237D1E               ENT
_SJRBF_UngetChunk                 H'0C237F0C               ENT
_SJRBF_Unlock                     H'0C237C50               ENT
_SJUNI_Create                     H'0C2380B8               ENT
_SJUNI_Destroy                    H'0C238122               ENT
_SJUNI_EntryErrFunc               H'0C238144               ENT
_SJUNI_Error                      H'0C23808A               ENT
_SJUNI_Finish                     H'0C2380AA               ENT
_SJUNI_GetChunk                   H'0C2381FE               ENT
_SJUNI_GetNumChainPool            H'0C2384FE               ENT
_SJUNI_GetNumChunk                H'0C2384E0               ENT
_SJUNI_GetNumData                 H'0C2381B8               ENT
_SJUNI_GetUuid                    H'0C238140               ENT
_SJUNI_Init                       H'0C23808E               ENT
_SJUNI_IsGetChunk                 H'0C23846E               ENT
_SJUNI_Lock                       H'0C23805C               ENT
_SJUNI_PutChunk                   H'0C2382CA               ENT
_SJUNI_Reset                      H'0C23814A               ENT
_SJUNI_UngetChunk                 H'0C238392               ENT
_SJUNI_Unlock                     H'0C238074               ENT
_SJ_SplitChunk                    H'0C238518               ENT
_START_SYS                        H'0C010000               DAT
_STrPrint                         H'0C01AF40               ENT
_ScreenTransFunc                  H'0C3A0150               DAT
_ScreenVertexBuffer               H'0C353E80               DAT
_SelectMainMove_yu                H'0C01BA98               ENT
_SetBlendingMode                  H'0C1C26E0               ENT
_Shl38_01_set                     H'0C16AF98               ENT
_Shl38_02_set                     H'0C16BB68               ENT
_Shl38_set                        H'0C1688A0               ENT
_Shl38_set2                       H'0C1688FA               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    11

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_SortMode                         H'0C29FE3C               DAT
_SortModeSet                      H'0C0170B8               ENT
_Sound_Heart                      H'0C021938               ENT
_SprWork                          H'0C2CE9C0               DAT
_StartDemoMain                    H'0C0208C4               ENT
_StreamBufSize                    H'0C34FB24               DAT
_StreamDefaultHeader              H'0C1F6BF9               DAT
_StreamPort                       H'0C34FB48               DAT
_Sys                              H'0C2E7550               DAT
_SysTrans                         H'0C01AFAA               ENT
_SysTransReset                    H'0C01AEEA               ENT
_SysTransSet                      H'0C01AEF6               ENT
_SystemSleep                      H'0C29FE2C               DAT
_SystemWork                       H'0C2E7554               DAT
_System_Init                      H'0C019568               ENT
_TexLoad                          H'0C016044               ENT
_TexWork                          H'0C2A0E80               DAT
_TextureCtrlGet                   H'0C1C2780               ENT
_TextureCtrlSet                   H'0C1C27BC               ENT
_TextureIDChange                  H'0C1C2870               ENT
_TextureIDSet                     H'0C1C284A               ENT
_Texture_Init                     H'0C01968C               ENT
_Timer_Gauge_Set                  H'0C1AA9A0               ENT
_TotalPackSize                    H'0C34FB20               DAT
_TransMode                        H'0C34FB40               DAT
_Trans_stk_ctr                    H'0C308900               DAT
_Trans_stk_ptr                    H'0C307100               DAT
_TranslucentModifireVolumeWritePtr
                                  H'0C3A0194               DAT
_TranslucentWritePtr              H'0C3A0190               DAT
_USR_SD_Comment                   H'0C1FE4D4               DAT
_USR_SD_Default                   H'0C1FE5D4               DAT
_VBlankInIrq                      H'0C010312               ENT
_Vec0                             H'0C1EB3BC               DAT
_Vec1                             H'0C1EB3C8               DAT
_Version

0x415020:

 ENT
_gdFsMemcpy                       H'0C238A94               ENT
_gdFsMovePickup                   H'0C22CFFE               ENT
_gdFsOpen                         H'0C22D3C2               ENT
_gdFsOpenFast                     H'0C22D3D8               ENT
_gdFsOpenFastG                    H'0C22C238               ENT
_gdFsOpenG                        H'0C22C1C0               ENT
_gdFsOpenRange                    H'0C22D3F0               ENT
_gdFsOpenRangeG                   H'0C22C23C               ENT
_gdFsRead                         H'0C22CC0A               ENT
_gdFsReadW                        H'0C22D2C0               ENT
_gdFsReadWG                       H'0C22B984               ENT
_gdFsReinit                       H'0C22D320               ENT
_gdFsReinitG                      H'0C22BE42               ENT
_gdFsReopenHn                     H'0C22CA6E               ENT
_gdFsReqDrvStat                   H'0C22D490               ENT
_gdFsReqDrvStatG                  H'0C22C3AC               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    29

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_gdFsReqGdRd                      H'0C22CE5A               ENT
_gdFsReqRd32                      H'0C22CD70               ENT
_gdFsSearchName                   H'0C238D06               ENT
_gdFsSearchPath                   H'0C238D76               ENT
_gdFsSearchPath_dds               H'0C238E76               ENT
_gdFsSeek                         H'0C22CB66               ENT
_gdFsSetDir                       H'0C22D396               ENT
_gdFsSetDirG                      H'0C22C09E               ENT
_gdFsSetGlobalErr                 H'0C22D2D6               ENT
_gdFsSetGlobalErrG                H'0C22B6F4               ENT
_gdFsSetTmode                     H'0C22CBF0               ENT
_gdFsStopRd                       H'0C22D0BE               ENT
_gdFsTell                         H'0C22CBB2               ENT
_gdFsTrans32                      H'0C22CF38               ENT
_gdFsWaitCompleteReq              H'0C22C9DC               ENT
_gdGdcChangeDataType              H'0C240410               DAT
_gdGdcCheckDmaTrans               H'0C2403D4               DAT
_gdGdcExecServer                  H'0C240370               DAT
_gdGdcG1DmaEnd                    H'0C2403AC               DAT
_gdGdcGetCmdStat                  H'0C24035C               DAT
_gdGdcGetDrvStat                  H'0C240398               DAT
_gdGdcInitSystem                  H'0C240384               DAT
_gdGdcReadAbort                   H'0C2403E8               DAT
_gdGdcReqCmd                      H'0C240348               DAT
_gdGdcReqDmaTrans                 H'0C2403C0               DAT
_gdGdcReset                       H'0C2403FC               DAT
_gdgFsBuild                       H'0C255C40               DAT
_gdg_fs_def_tmode                 H'0C255CC4               DAT
_gdg_fs_lif_tbl                   H'0C255C44               DAT
_gdg_fs_pvd                       H'0C255CC0               DAT
_gear_up_ang_tbl                  H'0C1F5EB0               DAT
_gear_up_pos_tbl                  H'0C1F5EA4               DAT
_get_2d_pos                       H'0C0170C0               ENT
_get_motion                       H'0C1B7860               ENT
_get_pl_col_adrs                  H'0C018F28               ENT
_get_sel_pl_type                  H'0C1AE898               ENT
_ghost_level_pos                  H'0C1F0928               DAT
_ghost_shot_time_tbl              H'0C1F0610               DAT
_gold_crank_ang_tbl               H'0C1F5EEC               DAT
_gold_crank_pos_tbl               H'0C1F5EE0               DAT
_gold_piston_ang_tbl              H'0C1F5ED4               DAT
_gold_piston_pos_tbl              H'0C1F5EBC               DAT
_goto_endif                       H'0C03D294               ENT
_goto_hiendif                     H'0C03D340               ENT
_gpwbuf                           H'0C353C20               DAT
_grey_crank_down_ang_tbl          H'0C1F5E20               DAT
_grey_crank_down_pos_tbl          H'0C1F5E14               DAT
_grey_crank_up_ang_tbl            H'0C1F5E44               DAT
_grey_crank_up_pos_tbl            H'0C1F5E38               DAT
_grey_piston_pos_tbl              H'0C1F5DFC               DAT
_grip_ck                          H'0C02F3CE               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    30

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_grip_ck_init                     H'0C02F39A               ENT
_han_intr_tbl                     H'0C2039C2               DAT
_hansya_tbl                       H'0C203D50               DAT
_hit_catch_ck                     H'0C031164               ENT
_hit_chain_def_ck                 H'0C0314E8               ENT
_hit_ck0                          H'0C0251C2               ENT
_hit_mark_set                     H'0C1B79FA               ENT
_hit_mark_set1                    H'0C1B7A46               ENT
_hit_stop_bibi                    H'0C037240               ENT
_hitmark_set                      H'0C1B78B2               ENT
_hitmark_set1                     H'0C1B797C               ENT
_hold_damage                      H'0C03700E               ENT
_hyper_e

0x4631A0:

      ENT
_nlSingleLineUseViewMatrixTrnsl   H'0C1DD710               ENT
_nlSinh                           H'0C1D5580               ENT
_nlSprLibErrID                    H'0C34FAE0               DAT
_nlSprPut                         H'0C1DB090               ENT
_nlSprPutPosSet                   H'0C1DB680               ENT
_nlSpriteChangePalette            H'0C1DB530               ENT
_nlSpriteClearSpriteIndex         H'0C1DB940               ENT
_nlSpriteF2ARGB                   H'0C1DB710               ENT
_nlSpriteF2C                      H'0C1DB960               ENT
_nlSpriteFreeTexture              H'0C1DAFC0               ENT
_nlSpriteFreeTextureGI            H'0C1DB010               ENT
_nlSpriteFreeTextureOnce          H'0C1DAFA0               ENT
_nlSpriteFreeTextureOnceGI        H'0C1DAFB0               ENT
_nlSpriteFreeTextureOnce_Sub      H'0C1DAE80               ENT
_nlSpriteGetImaginaryScreenSize   H'0C1DB080               ENT
_nlSpriteGetNextSpriteIndex       H'0C1DB890               ENT
_nlSpriteLoadTexture              H'0C1DAC80               ENT
_nlSpriteLoadTextureGI            H'0C1DACD0               ENT
_nlSpriteLoadTextureOnce          H'0C1DAC60               ENT
_nlSpriteLoadTextureOnceGI        H'0C1DAC70               ENT
_nlSpriteLoadTextureOnce_Sub      H'0C1DA9F0               ENT
_nlSpriteSetGarbagedTexture       H'0C1DADA0               ENT
_nlSpriteSetGarbagedTextureGI     H'0C1DADF0               ENT
_nlSpriteSetGarbagedTextureOnce   H'0C1DAE40               ENT
_nlSpriteSetGarbagedTextureOnceGI
                                  H'0C1DAE70               ENT
_nlSpriteSetGarbagedTextureOnce_Sub
                                  H'0C1DAD20               ENT
_nlSpriteSetImaginaryScreenSize   H'0C1DB060               ENT
_nlSpriteSetVtxContext            H'0C1DB820               ENT
_nlSubMatrix                      H'0C1D7CB0               DAT
_nlSubVector                      H'0C1D7F90               DAT
_nlSubVector3op                   H'0C1D7FB0               DAT
_nlSynchFunction                  H'0C1D9870               ENT
_nlSysBeginPass                   H'0C1D4A10               ENT
_nlSysBeginScene                  H'0C1D49E0               ENT
_nlSysCancelStripBuffer           H'0C1D37E0               ENT
_nlSysCreatePunchBuffer           H'0C1D3810               ENT
_nlSysEndPass                     H'0C1D4A30               ENT
_nlSysEndScene                    H'0C1D4A00               ENT
_nlSysGetVertexBufferSize         H'0C1D4270               ENT
_nlSysMetricsCpuPowerEnd          H'0C1D40E0               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    52

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_nlSysMetricsCpuPowerGet          H'0C1D40C0               ENT
_nlSysMetricsCpuPowerStart        H'0C1D40B0               ENT
_nlSysMetricsGetFrameBuffer       H'0C1D3F00               ENT
_nlSysMetricsGetFrameBufferUser   H'0C1D3FB0               ENT
_nlSysMetricsGetLastRenderTime    H'0C1D3EA0               ENT
_nlSysMetricsGetLastTATime        H'0C1D3E90               ENT
_nlSysMetricsGetNativeMaxSize     H'0C1D3EC0               ENT
_nlSysMetricsGetNativeUseSize     H'0C1D3EB0               ENT
_nlSysMetricsGetRenderTimeOutCount
                                  H'0C1D4280               ENT
_nlSysMetricsGetVertexTimeOutCount
                                  H'0C1D4290               ENT
_nlSysMetricsInit                 H'0C1D3DD0               ENT
_nlSysMetricsInitSetClock         H'0C1D3E20               ENT
_nlSysMetricsStart                H'0C1D3E80               ENT
_nlSysMultiPassCreateVertexBuffer
                                  H'0C1D4830               ENT
_nlSysMultiPassMode               H'0C1D47F0               ENT
_nlSysMultiPassNoUseSameModifier  H'0C1D4BF0               ENT
_nlSysMultiPassSetAutoSortMode    H'0C1D4BC0               ENT
_nlSysMultiPassSetDirectListTypeLatency2V
                                  H'0C1D4C40               ENT
_nlSysMultiPassUseSameModifier    H'0C1D4BD0               ENT
_nlSysNoWaitRenderingOver         H'0C1D37F0               ENT
_nlSysNoWaitVSyncMode             H'0C1D4BB0               ENT
_nlSysRender                      H'0C1D4A40               ENT
_nlSysSetAutoSortMode             H'0C1D4360               ENT
_nlSysSetDirectListTypeLatency2V  H'0C1D3760               ENT
_nlSysSetTextureSize              H'0C1D3690               ENT
_nlSysSetTimeOutCount             H'0C1D42A0               ENT
_nlSysSetVertexBufferBaseAddress  H'0C1D3820               ENT
_nlSysTextureNoWaitDMA            H'0C1D3D60               ENT
_nlSysTextureWaitDMA              H'0C1D3D50               ENT
_nlSysUseLatency2V                H'0C1D36A0               ENT
_nlSysUseLatency3V                H'0C1D36E0               ENT
_nlSysUseStripBuffer              H'0C1D37D0               ENT
_nlSysWaitRenderingOver           H'0C1D3800               ENT
_nlSysWaitVSyncMode               H'0C1D4BA0               ENT
_nlTan                            H'0C1D57A0               ENT
_nlTanf                           H'0C1D56A0               ENT
_nlTanh                           H'0C1D5830               ENT
_nlTranslate                      H'0C1D7D30               DAT
_nlTranslateNV                    H'0C1D7D40               DAT
_nlTranslateV                     H'0C1D7D60               DAT
_nlTransposeMatrix                H'0C1D7D00               DAT
_nlUnitMatrix                     H'0C1D7D80               DAT

0x4FA7E0:

6_hold                        H'0C05C108               ENT
_pl06_main                        H'0C058A56               ENT
_pl06_noki                        H'0C05964A               ENT
_pl06_sp_assist                   H'0C05C1CC               ENT
_pl06_sp_atck                     H'0C059A26               ENT
_pl06_sp_gattai                   H'0C05C1A8               ENT
_pl06_sp_unison                   H'0C05C14E               ENT
_pl06_sp_zero                     H'0C05C210               ENT
_pl06_t_kick                      H'0C05B2F6               ENT
_pl06_tlocal_init                 H'0C05C254               ENT
_pl06_upper                       H'0C0593FC               ENT
_pl06_win                         H'0C0598AC               ENT
_pl07_appear                      H'0C05D9B2               ENT
_pl07_atck                        H'0C05CE2A               ENT
_pl07_atck_set                    H'0C05C87C               ENT
_pl07_catch                       H'0C0606F8               ENT
_pl07_catch_ck                    H'0C060556               ENT
_pl07_catch_set                   H'0C06060C               ENT
_pl07_com_ck                      H'0C05C278               ENT
_pl07_dash                        H'0C05D746               ENT
_pl07_delay_ck                    H'0C05C75A               ENT
_pl07_hold                        H'0C06118C               ENT
_pl07_main                        H'0C05C84C               ENT
_pl07_noki                        H'0C05D868               ENT
_pl07_sp_assist                   H'0C060268               ENT
_pl07_sp_atck                     H'0C05DD42               ENT
_pl07_sp_gattai                   H'0C060238               ENT
_pl07_sp_unison                   H'0C060208               ENT
_pl07_sp_zero                     H'0C0602E0               ENT
_pl07_t_kick                      H'0C06031E               ENT
_pl07_tjump                       H'0C060450               ENT
_pl07_upper                       H'0C05D680               ENT
_pl07_win                         H'0C05DC0A               ENT
_pl08_appear                      H'0C062A1A               ENT
_pl08_atck                        H'0C0620AE               ENT
_pl08_atck_set                    H'0C0618E4               ENT
_pl08_catch                       H'0C064E6E               ENT
_pl08_catch_ck                    H'0C064C0E               ENT
_pl08_catch_set                   H'0C064D4E               ENT
_pl08_com_ck                      H'0C0611C0               ENT
_pl08_dash                        H'0C062648               ENT
_pl08_delay_ck                    H'0C06164C               ENT
_pl08_hold                        H'0C065336               ENT
_pl08_main                        H'0C061868               ENT
_pl08_noki                        H'0C062774               ENT
_pl08_sp_assist                   H'0C06541A               ENT
_pl08_sp_atck                     H'0C062CA6               ENT
_pl08_sp_gattai                   H'0C0653D8               ENT
_pl0

0x5033E0:

                        H'0C0BBA10               ENT
_pl20_delay_ck                    H'0C0BAE34               ENT
_pl20_hold                        H'0C0BE3D0               ENT
_pl20_main                        H'0C0BAF2C               ENT
_pl20_noki                        H'0C0BBBEA               ENT
_pl20_sp_assist                   H'0C0BF15E               ENT
_pl20_sp_atck                     H'0C0BBF3C               ENT
_pl20_sp_gattai                   H'0C0BF13A               ENT
_pl20_sp_unison                   H'0C0BF116               ENT
_pl20_sp_zero                     H'0C0BF19A               ENT
_pl20_t_kick                      H'0C0BEFB2               ENT
_pl20_tjump                       H'0C0BF1D8               ENT
_pl20_upper                       H'0C0BB948               ENT
_pl20_win                         H'0C0BBE18               ENT
_pl21_appear                      H'0C0C05BE               ENT
_pl21_atck                        H'0C0BFE4A               ENT
_pl21_atck_set                    H'0C0BF7A6               ENT
_pl21_catch                       H'0C0C21AA               ENT
_pl21_catch_ck                    H'0C0C1EFA               ENT
_pl21_catch_set                   H'0C0C2024               ENT
_pl21_com_ck                      H'0C0BF380               ENT
_pl21_dash                        H'0C0C02C8               ENT
_pl21_delay_ck                    H'0C0BF6B4               ENT
_pl21_hold                        H'0C0C2436               ENT
_pl21_main                        H'0C0BF7A2               ENT
_pl21_noki                        H'0C0C0422               ENT
_pl21_sp_assist                   H'0C0C24D8               ENT
_pl21_sp_atck                     H'0C0C0700               ENT
_pl21_sp_gattai                   H'0C0C24A8               ENT
_pl21_sp_unison                   H'0C0C2478               ENT
_pl21_sp_zero                     H'0C0C2514               ENT
_pl21_t_kick                      H'0C0C1DB0               ENT
_pl21_upper                       H'0C0C0200               ENT
_pl21_win                         H'0C0C0604               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    73

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_pl22_appear                      H'0C0C3642               ENT
_pl22_atck                        H'0C0C2FF0               ENT
_pl22_atck_set                    H'0C0C2FDC               ENT
_pl22_catch                       H'0C0C6C92               ENT
_pl22_catch_ck                    H'0C0C6A8C               ENT
_pl22_catch_set                   H'0C0C6B14               ENT
_pl22_com_ck                      H'0C0C2BB4               ENT
_pl22_dash                        H'0C0C3210               ENT
_pl22_dash_set                    H'0C0C71C0               ENT
_pl22_delay_ck                    H'0C0C2A96               ENT
_pl22_hold                        H'0C0C7032               ENT
_pl22_main                        H'0C0C2CEE               ENT
_pl22_noki                        H'0C0C3434               ENT
_pl22_noki_set                    H'0C0C725C               ENT
_pl22_sp_assist                   H'0C0C7144               ENT
_pl22_sp_atck                     H'0C0C3C3C               ENT
_pl22_sp_gattai                   H'0C0C711E               ENT
_pl22_sp_unison                   H'0C0C70F8               ENT
_pl22_sp_zero                     H'0C0C7182               ENT
_pl22_t_kick                      H'0C0C68F8               ENT
_pl22_upper                       H'0C0C3102               ENT
_pl22_win                         H'0C0C3982               ENT
_pl23_appear                      H'0C0C8666               ENT
_pl23_atck                        H'0C0C7F70               ENT
_pl23_atck_set                    H'0C0C7980               ENT
_pl23_catch                       H'0C0CAD96               ENT
_pl23_catch_ck                    H'0C0CAAE8               ENT
_pl23_catch_set                   H'0C0CABDC               ENT
_pl23_com_ck                      H'0C0C7290               ENT
_pl23_dash                        H'0C0C8300               ENT
_pl23_delay_ck                    H'0C0C779A               ENT
_pl23_hold                        H'0C0CAFDA               ENT
_pl23_main                        H'0C0C797C               ENT
_pl23_noki                        H'0C0C84AA               ENT
_pl23_sp_assist                   H'0C0CB094               ENT
_pl23_sp_atck                     H'0C0C8C38               ENT
_pl23_sp_gattai                   H'0C0CB02A               ENT
_pl23_sp_unison                   H'0C0CAFE8               ENT
_pl23_sp_zero                     H'0C0CB0D2               ENT
_pl23_t_kick                      H'0C0C8AE4               ENT
_pl23_upper                       H'0C0C8238               ENT
_pl23_win                         H'0C0C8A36               ENT
_pl24_appear                      H'0C0CC24C               ENT
_pl24_atck                        H'0C0CBD26               ENT
_pl24_atck_set                    H'0C0CB646               ENT
_pl24_catch                       H'0C0CF592               ENT
_pl24_catch_ck                    H'0C0CEFFC               ENT
_pl24_catch_set                   H'0C0CF152               ENT
_pl24_com_ck     

0x63E6E0:

tal_add_dmg2                   H'0C036FA4               ENT
_vital_bonus                      H'0C0380C0               ENT
_vital_combo                      H'0C20138E               DAT
_vital_def                        H'0C2013C2               DAT
_vital_konjyo                     H'0C2013A2               DAT
_vital_sub                        H'0C036F20               ENT
_vm_sprite_sub                    H'0C01E362               ENT
_vms_check                        H'0C1CFB8A               ENT
_vms_check_datafile               H'0C1CFC72               ENT
_vms_check_execfile               H'0C1CFCF0               ENT
_vms_check_free_data              H'0C1CFDE0               ENT
_vms_check_free_exec              H'0C1CFE5E               ENT
_vms_check_other_execfile         H'0C1CFD62               ENT
_vms_check_unit                   H'0C1CFBF4               ENT
_vms_data_default                 H'0C1CFEFE               ENT
_vms_data_load                    H'0C1D0580               ENT
_vms_data_save                    H'0C1D0210               ENT
_vms_exec_reload                  H'0C1D0982               ENT
_vms_exec_resave                  H'0C1D0AE8               ENT
_vms_exec_save                    H'0C1D07D8               ENT
_vms_exit                         H'0C1CFB84               ENT
_vms_init                         H'0C1CFAD2               ENT
_vmsfb_create                     H'0C24C54E               ENT
_vmsfb_end                        H'0C24C57C               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :   104

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_vmsfb_exec                       H'0C24C566               ENT
_vmsfb_exit                       H'0C24C56E               ENT
_vmsfb_init                       H'0C24C538               ENT
_vmsfb_sleep                      H'0C24C576               ENT
_vmsfs_c_dispatch                 H'0C23BF2A               ENT
_vmsfs_c_execcallback             H'0C23C160               ENT
_vmsfs_c_exit                     H'0C23BEA0               ENT
_vmsfs_c_fiber0                   H'0C23BEB8               ENT
_vmsfs_c_fiber1                   H'0C23BEBC               ENT
_vmsfs_c_fiber2                   H'0C23BEC0               ENT
_vmsfs_c_fiber3                   H'0C23BEC4               ENT
_vmsfs_c_fiber4                   H'0C23BEC8               ENT
_vmsfs_c_fiber5                   H'0C23BECC               ENT
_vmsfs_c_fiber6                   H'0C23BED0               ENT
_vmsfs_c_fiber7                   H'0C23BF28               ENT
_vmsfs_c_getstate                 H'0C23C24C               ENT
_vmsfs_c_init                     H'0C23BD74               ENT
_vmsfs_c_progress                 H'0C23C186               ENT
_vmsfs_c_setcallbackparam         H'0C23C230               ENT
_vmsfs_c_setcompletecallback      H'0C23C1F8               ENT
_vmsfs_c_setfname                 H'0C23C2BC               ENT
_vmsfs_c_setfunc                  H'0C23C25C               ENT
_vmsfs_c_setparam                 H'0C23C26C               ENT
_vmsfs_c_setprogresscallback      H'0C23C214               ENT
_vmsfs_c_settime                  H'0C23C332               ENT
_vmsfs_c_setvolume                H'0C23C290               ENT
_vmsfs_c_synch                    H'0C23BEB2               ENT
_vmsfs_connect                    H'0C23C942               ENT
_vmsfs_diskfree                   H'0C23D0E8               ENT
_vmsfs_dpf                        H'0C23C354               ENT
_vmsfs_exit                       H'0C23C79C               ENT
_vmsfs_fclose                     H'0C23D746               ENT
_vmsfs_fcreate                    H'0C23D542               ENT
_vmsfs_fdelete                    H'0C23E2C8               ENT
_vmsfs_fdelete_ex                 H'0C23E3A8               ENT
_vmsfs_fgetinfo                   H'0C23CADC               ENT
_vmsfs_fgetsize                   H'0C23E908               ENT
_vmsfs_fgettime                   H'0C23E6F2               ENT
_vmsfs_findfirst                  H'0C23DDB0               ENT
_vmsfs_findfirst_ex               H'0C23DF90               ENT
_vmsfs_findnext                   H'0C23DEA8               ENT
_vmsfs_findnext_ex                H'0C23E0CE               ENT
_vmsfs_fisexist                   H'0C23DCF2               ENT
_vmsfs_fopen                      H'0C23D2CC               ENT
_vmsfs_format                     H'0C23CBC8               ENT
_vmsfs_fread                      H'0C23DB92               ENT
_vmsfs_fread1                     H'0C23DC04               ENT
_vmsfs_frename                    H'0C23E4C6               ENT
_vmsfs_fseek                      H'0C2400F4               ENT
_vmsfs_fsetattr                   H'0C23E7EC               ENT
_vmsfs_fsetparam                  H'0C23D68A               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :   105

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_vmsfs_fsettime                   H'0C23E5DC               ENT
_vmsfs_fwrite                     H'0C23D8AE     

mpr-23056.ic25

0xDB740:

19
D:\Naomi\bin\shcprm.exe
D:\Naomi\temp\SHC211c\0001.stk
""
SH_SERIES_C/C++_Compiler_Ver._5.1(Release08)
Copyright_(c)_1992,1999_Hitachi,Ltd.,Hitachi_Software_Engineering_Co.,Ltd.
 
-I=..\include,.
-round=near
-CP=SH4
-Fpu=Single
-EN=L
-MACSAVE=0
-PIC=0
-OP=1
-SIZE
-ST=C
-EXTRA=a=400
-DEF=_STRICT_UNION_,__DEV_TYPE_DC__
s_pl03.c


mpr-23057.ic26

0x3E20:

b		14,bu_o+no_vec,a_nom,k_l
		.data.b		01,10,24,00
		.data.b		vec00,powB
		.data.b		H'80,mark_n3
		.data.b		sc300,sd_nor_l
		.data.b		00,50
		.data.b		H'0f,H'5a
		.data.b		black_combo+no_weight,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;09 しゃがみ弱キック
		.data.b		04,zu+no_vec,a_lo,k_ls
		.data.b		04,10,10,00
		.data.b		vec1a,pow2
		.data.b		H'70,mark_n1
		.data.b		sc100,sd_kik_s
		.data.b		00,20
		.data.b		H'10,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd030,00,00
;0A しゃがみ中キック
		.data.b		08,zu,a_lo,k_lm
		.data.b		02,10,16,00
		.data.b		vec1a,pow4
		.data.b		H'40,mark_n2
		.data.b		sc200,sd_kik_m
		.data.b		00,40
		.data.b		H'36,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;0B しゃがみ強キック
		.data.b		14,no_zu+as,a_lo,k_ll
		.data.b		01,10,24,09
		.data.b		vec1a,pow8
		.data.b		H'70,mark_n3
        .data.b     sc300,sd_kik_l
		.data.b		00,100
		.data.b		H'37,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;0C ジャンプ弱パンチ
		.data.b		04,zu+no_vec,a_hi,k_s
		.data.b		00,10,10,00
		.data.b		vec00,pow2
		.data.b		H'70,mark_n1
		.data.b		sc100,sd_nor_s
		.data.b		00,20
		.data.b		H'13,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd030,00,00
;0D ジャンプ中パンチ
		.data.b		08,zu+no_vec,a_hi,k_m
		.data.b		00,10,16,00
		.data.b		vec00,pow4
		.data.b		H'80,mark_n2
		.data.b		sc200,sd_nor_m
		.data.b		00,40
		.data.b		H'14,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;0E ジャンプ強パンチ
		.data.b		14,zu+no_vec,a_hi,k_m
		.data.b		00,10,24,00
		.data.b		vec18,pow8
		.data.b		H'80,mark_n3
		.data.b		sc300,sd_nor_l
		.data.b		00,60
		.data.b		H'15,H'00
		.data.b		aerial_finish,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;0F ジャンプ弱キック
		.data.b		04,zu+no_vec,a_hi,k_s
		.data.b		00,10,10,00
		.data.b		vec00,pow2
		.data.b		H'60,mark_n1
		.data.b		sc100,sd_kik_s
		.data.b		00,20
		.data.b		H'16,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd030,00,00
;10 ジャンプ中キック
		.data.b		08,zu+no_vec,a_hi,k_m
		.data.b		00,10,16,00
		.data.b		vec00,pow2
		.data.b		H'60,mark_n2
		.data.b		sc200,sd_kik_m
		.data.b		00,40
		.data.b		H'17,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;11 ジャンプ強キック
		.data.b		14,zu+no_vec,a_hi,k_m
		.data.b		00,10,24,00
		.data.b		vec19,pow8
		.data.b		H'80,mark_n3
		.data.b		sc300,sd_kik_l
		.data.b		00,60
		.data.b		H'18,H'00
		.data.b		aerial_finish,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;-----------------------*
;   レバー入れ攻撃	*
;-----------------------*
;12(00)立ち弱パンチ(遠距離)
		.data.b		04,zu,a_nom,k_s
		.data.b		04,10,10,00
		.data.b		vec1a,pow2
		.data.b		H'70,mark_n1
		.data.b		sc100,sd_nor_s
		.data.b		00,20
		.data.b		H'3c,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd030,00,00
;13(01)立ち中パンチ(遠距離)
		.data.b		08,zu,a_nom,k_m
		.data.b		02,10,16,00
		.data.b		vec1a,pow4
		.data.b		H'80,mark_n2
		.data.b		sc200,sd_nor_m
		.data.b		00,40
		.data.b		H'3e,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;14(02)立ち強パンチ(遠距離)
		.data.b		14,zu,a_nom,k_ll
		.data.b		01,10,24,00
		.data.b		vec1a,pow6
		.data.b		H'80,mark_n3
		.data.b		sc300,sd_nor_l
		.data.b		00,50
		.data.b		H'40,H'00
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd060,00,00
;15(03)立ち弱キック(遠距離)
		.data.b		04,zu,a_nom,k_s
		.data.b		04,10,10,00
		.data.b		vec1a,pow2
		.data.b		H'60,mark_n1
		.data.b		sc100,sd_kik_s
		.data.b		00,20
		.data.b		H'45,H'47
		.data.b		00,00,00,00
		.data.b		00,00
		.data.b		00,yk_gd030,00,00
;16(04)立ち中キック(遠距離)
		.data.b		08,zu,a_nom,k_m
		.data.b		02,10,16,00
		.data.b		vec1a,pow4
		.data.b		H'60,mark_n2
		.data.b		sc200,sd_kik_m
		.data.b		00,40
		.data.b		H'3b,H'48
		.data.b		.program	pl25_hit_data
;****************************************************************
		.export		_hit_dt_25
;****************************************************************
		.include	"hit_equ.h"
;****************************************************************
		.section	P, code, align=4
;****************************************************************
;		ダルシム					*
;****************************************************************
;	32 byte
; .data.b	Damage,DH'm_osei_A,DH'm_osei_B,Damage_Type,Atck_Kind,Damage_Kind
; .data.b	PiyH'o,it_Stop,Sandbag_time,Sleep_time
; .data.b	Vector,Power
; .data.b  Atck_RangH'e,it_Mark
; .data.b	Score,Sound
; .data.b	Shc,Jug
; .data.b		00,00,00,00
;
;	Damage		Damage Suu		与えるダメージ 
;	Dm_Hosei_A	Hosei Normal		修正値A ノーマル 
;	Dm_Hosei_B	Hosei Pinchi		修正値B ピンチ  
;	Damage_Type	Zuri or Buttobi		ずり下がり ぶっとび  
;	Atck_Kind	Hi Lo or Special	上  下  必殺 
;	Damage_Kind	Fire Ice or Elec	火  氷  電気 
;	Piyo		PiyoPoint		ピヨリポイント 
;	Hit_Stop	Hit Stop Time		ヒットストップの時間
;	Sandbag		SandbagTime		サンドバッグ時間 
;	Sleep_Time	SleepTime		寝時間
;	Vector		Vector			ぶっとびベクトル 
;	Power		Power			パワー 
;	Atck_Range	Attack Range X		攻撃の範囲(ガードに使用) 
;	Hit_Mark	Hit Mark Kind 		ヒットマークの種類  
;	Score		Score			ス

0x594D60:

	314
pl34_174_0_0003	.equ	315
pl34_174_0_0004	.equ	316
pl34_174_0_0005	.equ	317
pl34_174_0_0006	.equ	318
pl34_174_0_0007	.equ	319
pl34_174_0_0008	.equ	320
pl34_174_0_0009	.equ	321
pl34_174_0_000a	.equ	322
pl34_174_0_000b	.equ	323
pl34_174_0_000c	.equ	324
pl34_174_0_000e	.equ	325
pl34_174_0_000f	.equ	326
pl34_174_0_0010	.equ	327
pl34_174_0_0018	.equ	328
pl34_180_0001	.equ	329
pl34_180_0002	.equ	330
pl34_180_0005	.equ	331
pl34_180_000c	.equ	332
pl34_201_0001	.equ	333
pl34_201_0003	.equ	334
pl34_202_0_0001	.equ	335
pl34_202_0_0002	.equ	336
pl34_203_0_0002	.equ	337
pl34_204_0_0002	.equ	338
pl34_205_0_0003	.equ	339
pl34_205_0_0005	.equ	340
pl34_205_0_0006	.equ	341
pl34_206_0_0000	.equ	342
pl34_206_0_0001	.equ	343
pl34_207_0_0001	.equ	344
pl34_207_0_0003	.equ	345
pl34_208_0_0001	.equ	346
pl34_208_0_0002	.equ	347
pl34_208_0_0006	.equ	348
pl34_209_0000	.equ	349
pl34_209_0001	.equ	350
pl34_20a_0001	.equ	351
pl34_20a_0003	.equ	352
pl34_20b_0001	.equ	353
pl34_20b_0007	.equ	354
pl34_20c_0000	.equ	355
pl34_20c_0003	.equ	356
pl34_20d_0000	.equ	357
pl34_20d_0001	.equ	358
pl34_20d_0002	.equ	359
pl34_20d_0003	.equ	360
pl34_20d_0004	.equ	361
pl34_20e_0000	.equ	362
pl34_20e_0001	.equ	363
pl34_20e_0002	.equ	364
pl34_20e_0004	.equ	365
pl34_20e_0006	.equ	366
pl34_20e_0008	.equ	367
pl34_20e_000a	.equ	368
pl34_20e_000b	.equ	369
pl34_210_0000	.equ	370
pl34_210_0001	.equ	371
pl34_210_0002	.equ	372
pl34_210_0003	.equ	373
pl34_210_0004	.equ	374
pl34_210_0005	.equ	375
pl34_211_0007	.equ	376
pl34_221_0_0000	.equ	377
pl34_221_0_0001	.equ	378
pl34_221_0_0002	.equ	379
pl34_221_0_0003	.equ	380
pl34_221_0_0004	.equ	381
pl34_221_0_0005	.equ	382
pl34_221_0_0006	.equ	383
pl34_221_0_0007	.equ	384
pl34_222_0000	.equ	385
pl34_222_0001	.equ	386
pl34_222_0002	.equ	387
pl34_222_0003	.equ	388
pl34_222_0004	.equ	389
pl34_222_0005	.equ	390

_ef34_pat_end	.equ	38
_pl34_pat_end	.equ	391

0x683200:

1999//
/shl20_01.c/1.14/Wed Dec 08 15:37:00 1999//
/shl28_01.c/1.7/Wed Dec 08 15:37:00 1999//
/shl2b_02.c/1.2/Wed Dec 08 15:37:00 1999//
/shl30_01.c/1.32/Wed Dec 08 15:37:00 1999//
/shl37_01.c/1.11/Wed Dec 08 15:37:00 1999//
/wari0e.s/1.5/Wed Dec 08 15:37:02 1999//
/pl2b_tbl.s/1.36/Wed Dec 08 17:31:16 1999//
/hit_dt18.s/1.5/Thu Dec 09 04:12:58 1999//
/hit_dt2c.s/1.25/Thu Dec 09 04:13:00 1999//
/hit_dt37.s/1.27/Thu Dec 09 04:13:00 1999//
/pl09_tbl.s/1.49/Thu Dec 09 04:13:00 1999//
/pl0f_def.h/1.37/Thu Dec 09 04:13:00 1999//
/pls1d_02.c/1.2/Thu Dec 09 04:13:04 1999//
/pls3a_01.c/1.2/Thu Dec 09 04:13:04 1999//
/pls3a_02.c/1.3/Wed Dec 08 20:03:16 1999//
/taiki06.s/1.5/Thu Dec 09 04:13:04 1999//
/hit_def.h/1.15/Thu Dec 09 07:12:40 1999//
/hit_dt0a.s/1.28/Thu Dec 09 07:12:40 1999//
/hit_dt10.s/1.42/Thu Dec 09 07:12:40 1999//
/hit_dt2f.s/1.48/Thu Dec 09 07:12:40 1999//
/hit_equ.h/1.23/Thu Dec 09 07:12:42 1999//
/pl1c_tbl.s/1.49/Thu Dec 09 07:12:44 1999//
/pl1d_tbl.s/1.25/Thu Dec 09 07:12:44 1999//
/pls35_02.c/1.7/Thu Dec 09 07:12:48 1999//
/s_pl1c.c/1.71/Thu Dec 09 07:12:50 1999//
/s_pl1d.c/1.38/Thu Dec 09 07:12:50 1999//
/s_pl38.c/1.72/Thu Dec 09 07:12:50 1999//
/shl02_01.c/1.28/Thu Dec 09 07:12:52 1999//
/shl1c_08.c/1.5/Thu Dec 09 07:12:52 1999//
/yok04.s/1.4/Thu Dec 09 07:12:54 1999//
/dm00_05.c/1.12/Thu Dec 09 11:56:56 1999//
/flashing.c/1.10/Thu Dec 09 11:56:56 1999//
/hit_10.s/1.15/Thu Dec 09 11:56:56 1999//
/hit_11.s/1.16/Thu Dec 09 11:56:56 1999//
/hit_dt09.s/1.29/Thu Dec 09 11:56:56 1999//
/hit_dt0f.s/1.40/Thu Dec 09 11:56:56 1999//
/hit_dt1d.s/1.25/Thu Dec 09 11:56:58 1999//
/hit_dt29.s/1.25/Thu Dec 09 11:57:00 1999//
/hit_dt2a.s/1.29/Thu Dec 09 11:57:00 1999//
/hit_dt33.s/1.28/Thu Dec 09 11:57:00 1999//
/hit_dt36.s/1.33/Thu Dec 09 11:57:00 1999//
/hit_dt38.s/1.28/Thu Dec 09 11:57:00 1999//
/pl1b_def.h/1.17/Thu Dec 09 11:57:02 1999//
/pl1c_def.h/1.44/Thu Dec 09 11:57:02 1999//
/pl1d_def.h/1.17/Thu Dec 09 11:57:02 1999//
/pl26_def.h/1.23/Thu Dec 09 11:57:04 1999//
/pl_dmg.c/1.43/Thu Dec 09 11:57:04 1999//
/s_play.c/1.81/Thu Dec 09 11:57:06 1999//
/shl0f_02.c/1.8/Thu Dec 09 11:57:06 1999//
/shl11_01.c/1.31/Thu Dec 09 11:57:06 1999//
/shl19_02.c/1.3/Thu Dec 09 11:57:06 1999//
/shl1b_00.c/1.14/Thu Dec 09 11:57:06 1999//
/shl1c_07.c/1.6/Thu Dec 09 11:57:06 1999//
/shl35_04.c/1.24/Thu Dec 09 11:57:06 1999//
/atck08.s/1.7/Thu Dec 09 16:20:40 1999//
/atck23.s/1.6/Thu Dec 09 16:20:40 1999//
/ef06.c/1.6/Thu Dec 09 16:20:40 1999//
/ef12.c/1.7/Thu Dec 09 16:20:40 1999//
/hit_15.s/1.20/Thu Dec 09 16:20:40 1999//
/hit_dt21.s/1.22/Thu Dec 09 16:20:42 1999//
/hit_dt25.s/1.24/Thu Dec 09 16:20:44 1999//
/lib28.s/1.7/Thu Dec 09 16:20:44 1999//
/pl27_def.h/1.13/Thu Dec 09 16:20:48 1999//
/pls17_00.c/1.14/Thu Dec 09 16:20:48 1999//
/pls17_06.c/1.7/Thu Dec 09 16:20:48 1999//
/set02_03.c/1.16/Thu Dec 09 16:20:50 1999//
/shl0d_00.c/1.16/Thu Dec 09 16:20:50 1999//
/shl0f_00.c/1.5/Thu Dec 09 16:20:50 1999//
/shl17_06.c/1.14/Thu Dec 09 16:20:50 1999//
/taiki15.s/1.5/Thu Dec 09 16:20:50 1999//
/pl18_tbl.s/1.63/Thu Dec 09 20:45:10 1999//
/pl19_tbl.s/1.39/Thu Dec 09 20:35:06 1999//
/pl00_def.h/1.19/Fri Dec 10 04:00:52 1999//
/dm00_03.c/1.27/Fri Dec 10 04:17:30 1999//
/hit_dt0c.s/1.19/Fri Dec 10 04:17:32 1999//
/hit_dt0d.s/1.24/Fri Dec 10 04:17:32 1999//
/hit_dt0e.s/1.19/Fri Dec 10 04:17:32 1999//
/hit_dt2b.s/1.39/Fri Dec 10 04:17:34 1999//
/hit_dt2d.s/1.20/Fri Dec 10 04:17:34 1999//
/hit_dt2e.s/1.30/Fri Dec 10 04:17:34 1999//
/s_pl16.c/1.87/Fri Dec 10 04:17:36 1999//
/s_pl1b.c/1.45/Fri Dec 10 04:17:36 1999//
/s_pls01.c/1.8/Fri Dec 10 04:17:38 1999//
/shl0e_03.c/1.9/Fri Dec 10 04:17:38 1999//
/chrdef.c/1.30/Thu Dec 09 21:37:04 1999//
/l/1.11/Fri Dec 10 04:11:54 1999//
/atck38.s/1.8/Fri Dec 10 08:15:50 1999//
/ef01.c/1.45/Fri Dec 10 08:15:56 1999//
/ef05.c/1.11/Fri Dec 10 08:15:56 1999//
/hit_dt02.s/1.42/Fri Dec 10 08:16:06 1999//
/lib29.s/1.6/Fri Dec 10 08:16:12 1999//
/lib38.s/1.6/Fri Dec 10 08:16:14 1999//
/pls1f_00.c/1.13/Fri Dec 10 08:16:34 1999//
/pls29_00.c/1.4/Fri Dec 10 08:16:34 1999//
/pls2c_00.c/1.10/Fri Dec 10 08:16:34 1999//
/pls2c_01.c/1.8/Fri Dec 10 08:16:34 1999//
/pls38_00.c/1.5/Fri Dec 10 08:16:36 1999//
/pls38_02.c/1.5/Fri Dec 10 08:16:36 1999//
/sel_sub.c/1.19/Fri Dec 10 08:16:44 1999//
/shl08_01.c/1.11/Fri Dec 10 08:16:46 1999//
/shl16_00.c/1.11/Fri Dec 10 08:16:50 1999//
/shl16_03.c/1.4/Fri Dec 10 08:16:50 1999//
/shl1f_00.c/1.11/Fri Dec 10 08:16:52 1999//
/shl1f_01.c/1.10/Fri Dec 10 08:16:52 1999//
/shl1f_03.c/1.20/Fri Dec 10 08:16:52 1999//
/shl22_00.c/1.15/Fri Dec 10 08:16:52 1999//
/shl29_00.c/1.7/Fri Dec 10 08:16:52 1999//
/shl2c_00.c/1.7/Fri Dec 10 08:16:52 1999//
/shl2c_01.c/1.2/Fri Dec 10 08:16:52 1999//
/shl2c_02.c/1.5/Fri Dec 10 08:16:52 1999//
/shl2c_03.c/1.11/Fri Dec 10 08:16:52 1999//
/shl2e_01.c/1.13/Fri Dec 10 08:16:52 1999//
/shl33_01.c/1.6/Fri Dec 10 08:16:54 1999//
/shl38_00.c/1.35/Fri Dec 10 08:18:12 1999//
/atck2e.s/1.5/Fri Dec 10 12:32:18 1999//
/dm08_00.c/1.24/Fri Dec 10 12:32:18 1999//
/hit_04.s/1.17/Fri Dec 10 12:32:18 1999//
/hit_dt16.s/1.31/Fri Dec 10 12:32:18 1999//
/lib2e.s/1.6/Fri Dec 10 12:32:20 1999//
/pl01_tbl.s/1.29/Fri Dec 10 12:32:20 1999//
/pl04_tbl.s/1.91/Fri Dec 10 12:32:20 1999//
/pl05_tbl.s/1.32/Fri Dec 10 12:32:22 1999//
/pl06_tbl.s/1.49/Fri Dec 10 12:32:22 1999//
/pl07_tbl.s/1.32/Fri Dec 10 12:32:22 1999//
/pl08_tbl.s/1.86/Fri Dec 10 12:32:22 1999//
/pl0c_tbl.s/1.35/Fri Dec 10 12:32:24 1999//
/pl0f_tbl.s/1.90/Fri Dec 10 12:32:24 1999//
/pl10_tbl.s/1.116/Fri Dec 10 12:32:24 1999//
/pl14_def.h/1.54/Fri Dec 10 12:32:26 1999//
/pl14_tbl.s/1.104/Fri Dec 10 12:32:2

mpr-23058.ic27

0x1BC2E0:

fine	YOK32		0x02CF
#define	YOK33		0x02D0
#define	YOK34		0x02D1
#define	YOK35		0x02D2
#define	YOK36		0x02D3
#define	YOK37		0x02D4
#define	YOK38		0x02D5
#define	YOK39		0x02D6
#define	YOK3A		0x02D7
#define	LIB00		0x02D8
#define	LIB01		0x02D9
#define	LIB02		0x02DA
#define	LIB03		0x02DB
#define	LIB04		0x02DC
#define	LIB05		0x02DD
#define	LIB06		0x02DE
#define	LIB07		0x02DF
#define	LIB08		0x02E0
#define	LIB09		0x02E1
#define	LIB0A		0x02E2
#define	LIB0B		0x02E3
#define	LIB0C		0x02E4
#define	LIB0D		0x02E5
#define	LIB0E		0x02E6
#define	LIB0F		0x02E7
#define	LIB10		0x02E8
#define	LIB11		0x02E9
#define	LIB12		0x02EA
#define	LIB13		0x02EB
#define	LIB14		0x02EC
#define	LIB15		0x02ED
#define	LIB16		0x02EE
#define	LIB17		0x02EF
#define	LIB18		0x02F0
#define	LIB19		0x02F1
#define	LIB1A		0x02F2
#define	LIB1B		0x02F3
#define	LIB1C		0x02F4
#define	LIB1D		0x02F5
#define	LIB1E		0x02F6
#define	LIB1F		0x02F7
#define	LIB20		0x02F8
#define	LIB21		0x02F9
#define	LIB22		0x02FA
#define	LIB23		0x02FB
#define	LIB24		0x02FC
#define	LIB25		0x02FD
#define	LIB26		0x02FE
#define	LIB27		0x02FF
#define	LIB28		0x0300
#define	LIB29		0x0301
#define	LIB2A		0x0302
#define	LIB2B		0x0303
#define	LIB2C		0x0304
#define	LIB2D		0x0305
#define	LIB2E		0x0306
#define	LIB2F		0x0307
#define	LIB30		0x0308
#define	LIB31		0x0309
#define	LIB32		0x030A
#define	LIB33		0x030B
#define	LIB34		0x030C
#define	LIB35		0x030D
#define	LIB36		0x030E
#define	LIB37		0x030F
#define	LIB38		0x0310
#define	LIB39		0x0311
#define	LIB3A		0x0312
#define	EFKYPOL		0x0313
#define	EFKYTEX		0x0314
#define	STG00POL		0x0315
#define	STG00TEX		0x0316
#define	STG01POL		0x0317
#define	STG01TEX		0x0318
#define	STG02POL		0x0319
#define	STG02TEX		0x031A
#define	STG03POL		0x031B
#define	STG03TEX		0x031C
#define	STG04POL		0x031D
#define	STG04TEX		0x031E
#define	STG05POL		0x031F
#define	STG05TEX		0x0320
#define	STG06POL		0x0321
#define	STG06TEX		0x0322
#define	STG07POL		0x0323
#define	STG07TEX		0x0324
#define	STG08POL		0x0325
#define	STG08TEX		0x0326
#define	DM00POL		0x0327
#define	DM00TEX		0x0328
#define	DM01POL		0x0329
#define	DM01TEX		0x032A
#define	DM02POL		0x032B
#define	DM02TEX		0x032C
#define	DM03POL		0x032D
#define	DM03TEX		0x032E
#define	DM04POL		0x032F
#define	DM04TEX		0x0330
#define	DM05POL		0x0331
#define	DM05TEX		0x0332
#define	DM06POL		0x0333
#define	DM06TEX		0x0334
#define	DM07POL		0x0335
#define	DM07TEX		0x0336
#define	DM08POL		0x0337
#define	DM08TEX		0x0338
#define	DM09POL		0x0339
#define	DM09TEX		0x033A
#define	DM0APOL		0x033B
#define	DM0ATEX		0x033C
#define	DM0BPOL		0x033D
#define	DM0BTEX		0x033E
#define	DM0EPOL		0x033F
#define	DM0ETEX		0x0340
#define	SE_COMN		0x0341
#define	BGM_00		0x0342
#define	DM08CAB		0x0343
/*****************************************************************************/
#endif/*AM_ROM_H*/
/******************************************************************************
	End Of File 
******************************************************************************/

0x1BD0E0:

efine	TAIKI22		0x020E
#define	TAIKI23		0x020F
#define	TAIKI24		0x0210
#define	TAIKI25		0x0211
#define	TAIKI26		0x0212
#define	TAIKI27		0x0213
#define	TAIKI28		0x0214
#define	TAIKI29		0x0215
#define	TAIKI2A		0x0216
#define	TAIKI2B		0x0217
#define	TAIKI2C		0x0218
#define	TAIKI2D		0x0219
#define	TAIKI2E		0x021A
#define	TAIKI2F		0x021B
#define	TAIKI30		0x021C
#define	TAIKI31		0x021D
#define	TAIKI32		0x021E
#define	TAIKI33		0x021F
#define	TAIKI34		0x0220
#define	TAIKI35		0x0221
#define	TAIKI36		0x0222
#define	TAIKI37		0x0223
#define	TAIKI38		0x0224
#define	TAIKI39		0x0225
#define	TAIKI3A		0x0226
#define	ATCK00		0x0227
#define	ATCK01		0x0228
#define	ATCK02		0x0229
#define	ATCK03		0x022A
#define	ATCK04		0x022B
#define	ATCK05		0x022C
#define	ATCK06		0x022D
#define	ATCK07		0x022E
#define	ATCK08		0x022F
#define	ATCK09		0x0230
#define	ATCK0A		0x0231
#define	ATCK0B		0x0232
#define	ATCK0C		0x0233
#define	ATCK0D		0x0234
#define	ATCK0E		0x0235
#define	ATCK0F		0x0236
#define	ATCK10		0x0237
#define	ATCK11		0x0238
#define	ATCK12		0x0239
#define	ATCK13		0x023A
#define	ATCK14		0x023B
#define	ATCK15		0x023C
#define	ATCK16		0x023D
#define	ATCK17		0x023E
#define	ATCK18		0x023F
#define	ATCK19		0x0240
#define	ATCK1A		0x0241
#define	ATCK1B		0x0242
#define	ATCK1C		0x0243
#define	ATCK1D		0x0244
#define	ATCK1E		0x0245
#define	ATCK1F		0x0246
#define	ATCK20		0x0247
#define	ATCK21		0x0248
#define	ATCK22		0x0249
#define	ATCK23		0x024A
#define	ATCK24		0x024B
#define	ATCK25		0x024C
#define	ATCK26		0x024D
#define	ATCK27		0x024E
#define	ATCK28		0x024F
#define	ATCK29		0x0250
#define	ATCK2A		0x0251
#define	ATCK2B		0x0252
#define	ATCK2C		0x0253
#define	ATCK2D		0x0254
#define	ATCK2E		0x0255
#define	ATCK2F		0x0256
#define	ATCK30		0x0257
#define	ATCK31		0x0258
#define	ATCK32		0x0259
#define	ATCK33		0x025A
#define	ATCK34		0x025B
#define	ATCK35		0x025C
#define	ATCK36		0x025D
#define	A CK37		0x025E
#define	ATCK38		0x025F
#define	ATCK39		0x0260
#define	ATCK3A		0x0261
#define	WARI00		0x0262
#define	WARI01		0x0263
#define	WARI02		0x0264
#define	WARI03		0x0265
#define	W

0x33F7E0:

 = 0 ;
}
/*--------------------------------------------------------------*/
/*		壁張り付き終了											*/
/*--------------------------------------------------------------*/
static void sp_stick_end( PLAYER_WORK *pl_w, PL05_LOCAL_W *lw )
{
	lw -> pl05_wall_flag = 0 ;
	lw -> pl05_wall_flag1 = 0 ;

	pl_w -> jump_atk_cnt = 0 ;	// ジャンプ攻撃できない
	pl_w -> jump_com_cnt = 1 ;	// 空中必殺出せない

	SCR_EXCEP_SET ;		// カメラデフォルトに

	RATE_CLR_X( pl_w ) ;

	pl_jump_ret( pl_w ) ;
}
/*--------------------------------------------------------------*/
/*		壁ダッシュチェック										*/
/*--------------------------------------------------------------*/
static Uint8 pl05_kdash_ck( PLAYER_WORK *pl_w, PL05_LOCAL_W *lw )
{
	static Uint8 (*pl05_kdash_ck_tbl[])( PLAYER_WORK *pl_w, PL05_LOCAL_W *lw )={
		pl05_kdash_ck_00,
		pl05_kdash_ck_01,
	} ;

	return pl05_kdash_ck_tbl[lw -> pl05_com_st]( pl_w, lw ) ;
}
/*--------------------------------------------------------------*/
static Uint8 pl05_kdash_ck_00( PLAYER_WORK *pl_w, PL05_LOCAL_W *lw )
{
	if( !( pl_w -> pl_sw_now & BACK ) ) 

0x340040:

shl28_01_set2                    H'0C146E2E               ENT
_shl29_00_set                     H'0C147504               ENT
_shl2a_00_set                     H'0C1477C8               ENT
_shl2a_01_set                     H'0C1479CC               ENT
_shl2a_01_set0                    H'0C147A24               ENT
_shl2a_02_set                     H'0C147CFC               ENT
_shl2a_02_set0                    H'0C147D62               ENT
_shl2a_03_set                     H'0C147FEC               ENT
_shl2a_04_set                     H'0C148268               ENT
�


               H SERIES LINKAGE EDITOR Ver. 6.0A       PAGE :    81

             ***  LINKAGE EDITOR EXTERNALLY DEFINED SYMBOLS LIST  ***

SYMBOL NAME                          ADDR                 TYPE

_shl2c_00_set                     H'0C148CC8               ENT
_shl2c_01_set                     H'0C14920C               ENT
_shl2c_02_set                     H'0C14951C               ENT
_shl2c_02_set2                    H'0C1495E6               ENT
_shl2c_03_set                     H'0C149A48               ENT
_shl2e_0